The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase PI-Bus (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1977 (16) 1978-1979 (17) 1980-1981 (28) 1982 (33) 1983 (25) 1984 (26) 1985 (37) 1986 (37) 1987 (35) 1988 (64) 1989 (54) 1990 (65) 1991 (60) 1992 (72) 1993 (80) 1994 (88) 1995 (107) 1996 (115) 1997 (121) 1998 (149) 1999 (172) 2000 (213) 2001 (187) 2002 (232) 2003 (376) 2004 (447) 2005 (516) 2006 (600) 2007 (687) 2008 (606) 2009 (607) 2010 (532) 2011 (539) 2012 (514) 2013 (543) 2014 (544) 2015 (556) 2016 (532) 2017 (614) 2018 (595) 2019 (709) 2020 (732) 2021 (797) 2022 (720) 2023 (712) 2024 (188)
Publication types (Num. hits)
article(9767) book(4) data(19) incollection(20) inproceedings(4838) phdthesis(51)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4167 occurrences of 2110 keywords

Results
Found 14725 publication records. Showing 14699 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Ilgin Gökasar, Yigit Çetinel Analysis of the Effect of the Lane-Drops on the Traffic near Bus Stops using Bus GPS Data. Search on Bibsonomy ANT/SEIT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Tinghai Pang, Lei Duan, Jyrki Nummenmaa, Jie Zuo, Peng Zhang Bus-OLAP: A Bus Journey Data Management Model for Non-on-time Events Query. Search on Bibsonomy APWeb/WAIM (2) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Junghan Baek, Keemin Sohn Deep-Learning Architectures to Forecast Bus Ridership at the Stop and Stop-To-Stop Levels for Dense and Crowded Bus Networks. Search on Bibsonomy Appl. Artif. Intell. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Brian J. d'Auriol All-optical Linear Array with a Reconfigurable Pipelined Bus System (OLARPBS) optical bus parallel computing model. Search on Bibsonomy J. Supercomput. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Dan Wan, Camille Kamga, Wei Hao, Aaron Sugiura, Eric B. Beaton Customer satisfaction with bus rapid transit: a study of New York City select bus service applying structural equation modeling. Search on Bibsonomy Public Transp. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Kenny Supangat, Yustinus Eko Soelistio Bus Stops Location and Bus Route Planning Using Mean Shift Clustering and Ant Colony in West Jakarta. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
19Raul S. Barth, Renata Galante Passenger density and flow analysis and city zones and bus stops classification for public bus service management. Search on Bibsonomy SBBD The full citation details ... 2016 DBLP  BibTeX  RDF
19João F. M. Sarubbi, Caio Mário Mesquita, Elizabeth F. Wanner, Vinícius Fernandes dos Santos, Cristiano M. Silva A strategy for clustering students minimizing the number of bus stops for solving the school bus routing problem. Search on Bibsonomy NOMS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Luyan Xiao, Xiaopeng Fan, Haixia Mao, Cheng-Zhong Xu 0001, Ping Lu 0008, Shengmei Luo When Taxi Meets Bus: Night Bus Stop Planning over Large-Scale Traffic Data. Search on Bibsonomy CCBD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Joana Hora Martins, Teresa Galvão Dias, Ana S. Camanho Improving the Service Level of Bus Transportation Systems: Evaluation and Optimization of Bus Schedules' Robustness. Search on Bibsonomy IESS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Jiqin Zhou, Weigong Zhang, Keni Qiu, Xiaoyan Zhu UM-BUS: An online fault-tolerant bus for embedded systems. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Khaja Ahmad Shaik, Kiyoo Itoh 0002, Amara Amara 0.5-V 50-mV-swing 1.2-GHz 28-nm-FD-SOI 32-bit dynamic bus architecture with dummy bus. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Liang Li 0004, Chao Yang 0006, Yahui Zhang, Lipeng Zhang, Jian Song Correctional DP-Based Energy Management Strategy of Plug-In Hybrid Electric Bus for City-Bus Route. Search on Bibsonomy IEEE Trans. Veh. Technol. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Xiaoxiao Jiang, David H. C. Du BUS-VANET: A BUS Vehicular Network Integrated with Traffic Infrastructure. Search on Bibsonomy IEEE Intell. Transp. Syst. Mag. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Cong Bai, Zhong-Ren Peng, Qing-Chang Lu, Jian Sun Dynamic Bus Travel Time Prediction Models on Road with Multiple Bus Routes. Search on Bibsonomy Comput. Intell. Neurosci. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Shucong Jia, Zishan Liu, Konglin Zhu, Lin Zhang 0013, Zubair Md. Fadlullah, Nei Kato Bus-Ads: Bus-based priced advertising in VANETs using coalition formation game. Search on Bibsonomy ICC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Longcheng Tan, Bin Wu 0007, Sebastian Rivera A bipolar-DC-bus EV fast charging station with intrinsic DC-bus voltages equalization and minimized voltage ripples. Search on Bibsonomy IECON The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Masamichi Takakura, Mikiko Sode Tanaka Bus transportation system design of community bus "Notty" based on optimization theory. Search on Bibsonomy SII The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Han-Shue Tan, Jihua Huang The design and implementation of an automated bus in revenue service on a bus rapid transit line. Search on Bibsonomy ACC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Chun-Ming Tsai, Zong-Mu Yeh Detection of Bus Routes Number in Bus Panel via Learning Approach. Search on Bibsonomy ACIIDS (2) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Peng Wu 0004, Feng Chu 0001, Ada Che, Qin Shi A bus lane reservation problem in urban bus transit network. Search on Bibsonomy ITSC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Ching-Ching Cheng, Chun-Ming Tsai Using Red-Otsu Thresholding to Detect the Bus Routes Number for Helping Blinds to Take Bus. Search on Bibsonomy IEA/AIE (1) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Hua-Hsin Yeh, Wen-Pin Tu, Jian-Zhi Shen, Tung-Hua Yen, Shih-Hsu Huang Abstract bus interface unit for ESL design from TLM 2.0 communications to the real bus protocol. Search on Bibsonomy APCCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Virot Chiraphadhanakul, Cynthia Barnhart Incremental bus service design: combining limited-stop and local bus services. Search on Bibsonomy Public Transp. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Patrick Schittekat, Joris Kinable, Kenneth Sörensen, Marc Sevaux, Frits C. R. Spieksma, Johan Springael A metaheuristic for the school bus routing problem with bus stop selection. Search on Bibsonomy Eur. J. Oper. Res. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Chung-Ming Own, Da-Sheng Lee, Ti-Ho Wang, De-Jun Wang, Yu-Lun Ting Performance Evaluation of UHF RFID Technologies for Real-Time Bus Recognition in the Taipei Bus Station. Search on Bibsonomy Sensors The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Ikuko Shigihara, Akiko Arai, Osamu Saitou, Yuji Kuwahara, Masaru Kamada A Dynamic Bus Guide Based on Real-Time Bus Locations - A Demonstration Plan. Search on Bibsonomy NBiS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Jorge Valero-Rodriguez, Manuel Garcia-Plaza, Joaquin Eloy-Garcia Carrasco Dynamic performance of a back-to-back converter under grid disturbances with a classical DC-bus voltage control loop v.s. a DC-bus voltage control loop with Ni-Cd and Ion-Li batteries. Search on Bibsonomy IECON The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Chun-Ming Tsai, Zong-Mu Yeh Text detection in bus panel for visually impaired people ¿seeing¿ bus route number. Search on Bibsonomy ICMLC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Mohammed Ridha Benosman Conception et évaluation de performance d'un Bus applicatif, massivement parallèle et orienté service. (Design and Performance Evaluation of a Massively Parallel Service-Oriented Bus). Search on Bibsonomy 2013   RDF
19Tsung-Po Chen Zero-Sequence Circulating Current Reduction Method for Parallel HEPWM Inverters Between AC Bus and DC Bus. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Po-Hsun Wu, Tsung-Yi Ho Bus-driven floorplanning with bus pin assignment and deviation minimization. Search on Bibsonomy Integr. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Kan Zhao, Qiang Li, Guosheng Zhang, Wei Wang, Zhongxiang Li Research on driving characteristics of double-articulated bus docking the bay bus station. Search on Bibsonomy EMEIT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Mojaharul Islam, Hong-Hee Lee A Comprehensive Study on IEC61850 Process Bus Architecture and Spit Bus Based Differential Protection. Search on Bibsonomy ICIC (2) The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Kota Tsubouchi, Hiroyuki Yamato, Kazuo Hiekata Development and Evaluation of New Interface for Registration of New Bus Stops for the On-Demand Bus System. Search on Bibsonomy Int. J. Intell. Transp. Syst. Res. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Ji Gu, Hui Guo 0001 An Efficient Segmental Bus-Invert Coding Method for Instruction Memory Data Bus Switching Reduction. Search on Bibsonomy EURASIP J. Embed. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Yindong Shen, Jiahong Xia Integrated bus transit scheduling for the Beijing bus group based on a unified mode of operation. Search on Bibsonomy Int. Trans. Oper. Res. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Maged Ghoneima, Yehea I. Ismail, Muhammad M. Khellah, James W. Tschanz, Vivek De Serial-Link Bus: A Low-Power On-Chip Bus Architecture. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Ji Gu, Hui Guo 0001 A Segmental Bus-invert Coding Method for Instruction Memory Data Bus Power Efficiency. Search on Bibsonomy ISCAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Chi Dung Tran Vers un environnement générique et configurable pour l'aide à l'évaluation des systèmes interactifs à base d'agents, Application à un Système d'Aide à l'Information voyageur dans le domaine des transports commun (bus, Tram). (Towards a generic and configurable environment to support the evaluation of the agent-based interactive systems with agents, Application to a IAS (Information Assistance System) for passengers in the field of common transport (bus, tram)). Search on Bibsonomy 2009   RDF
19Valérie Guihaire Modélisation et Optimisation pour le Graphicage des Lignes de Bus. (Models and Optimisation for Bus Network Timetabling). Search on Bibsonomy 2009   RDF
19Shangyao Yan, Ching-Hui Tang An Integrated Framework for Intercity Bus Scheduling Under Stochastic Bus Travel Times. Search on Bibsonomy Transp. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Neeta Doifode, Dinesh Padole, Preeti R. Bajaj Dynamic Lottery Bus Arbiter for Shared Bus System on Chip: A Design Approach with VHDL. Search on Bibsonomy ICETET The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Tiedong Wang, Fengjing Shao, Rencheng Sun, He Huang A Hardware Implement of Bus Bridge Based on Single CPU and Dual Bus Architecture. Search on Bibsonomy ISCSCT (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Fraser N. McLeod Estimating bus passenger waiting times from incomplete bus arrivals data. Search on Bibsonomy J. Oper. Res. Soc. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Myungchul Yoon, Byeong-Hee Roh A Novel Low-Power Bus Design for Bus-Invert Coding. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Rasheek Rifaat, Bruce Baily, Gerald Dalke, Brent Duncan, Charles J. Mozina, Louie J. Powell, Jay Fischer, Alex Y. Wu, Joe Weber, James Daley Bus and Breaker Fail Protection for Industrial and Commercial Power Systems Part I: Introduction and Bus Protection Summary- Working Group Report. Search on Bibsonomy IAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Maged Ghoneima, Yehea I. Ismail, Muhammad M. Khellah, James W. Tschanz, Vivek De Serial-link bus: a low-power on-chip bus architecture. Search on Bibsonomy ICCAD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Siu-Kei Wong, Chi-Ying Tsui Dynamic reconfigurable bus encoding scheme for reducing the energy consumption of deep sub-micron instruction bus. Search on Bibsonomy ISCAS (2) The full citation details ... 2004 DBLP  BibTeX  RDF
19D. A. Chapman, P. A. Davies, J. Monk Code-division multiple-access in an optical fiber LAN with amplified bus topology: the SLIM bus. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Daniel Gomez-Ibanez, Ethan Stump, Benjamin Grocholsky, Vijay Kumar 0001, Camillo J. Taylor The robotics bus: a local communications bus for robots. Search on Bibsonomy Mobile Robots The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19C. Yeung, Anssi Haverinen, Graham Matthews, Jonathan Morris, Jauher Zaidi Standard bus vs. bus wrapper: what is the best solution for future SoC integration? Search on Bibsonomy DATE The full citation details ... 2001 DBLP  BibTeX  RDF
19D. Torres Roman, J. Gonzalez, M. Guzman A New Bus Assignment Algorithm for a Shared Bus Switch Fabric. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Gyungho Lee, Bland Quattlebaum, Sangyeun Cho, Larry L. Kinney Global Bus Design of a Bus-Based COMA Multiprocessor DICE. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
19Steven H. Vanderleest, Ravishankar K. Iyer Measurement of I/O bus contention and correlation among heterogeneous device types in a single-bus multiprocessor system. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Yen-Jen Oyang, Le-Chun Wu Optimal Design of Megabyte Second-Level Caches for Minimizing Bus Traffic in Shared-Memory Shared-Bus Multiprocessors. Search on Bibsonomy Comput. Syst. The full citation details ... 1994 DBLP  BibTeX  RDF
19Mohammad S. Obaidat, M. A. Radaideh A comparative simulation study of the performance of single-bus and two-bus multiprocessors. Search on Bibsonomy Simul. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
19Peter A. Sichel ACCESS.bus, an Open Desktop Bus. Search on Bibsonomy Digit. Tech. J. The full citation details ... 1991 DBLP  BibTeX  RDF
19Arthur L. Dexter Microcomputer bus structures and bus interface design. Search on Bibsonomy Autom. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
19Heinrich Dietsch, M. E. Ögrük, Roya Ulrich LABORINTH - Ein einheitliches Kommunikationskonzept für PDV-Bus, BITBUS und IEC-Bus. Search on Bibsonomy Prozeßrechnersysteme The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
19Alan Clements 0001 Microprocessor bus structures and bus interface design: Arthur L Dexter Marcel Dekker, New York, NY, USA (1986) $59.75 (USA), $71.50 (elsewhere) pp 339. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
19Göran Pulkkis A Comparison of Some Mathematical Models of the Bus Traffic in a Single Bus Multimicroprocessor. Search on Bibsonomy J. Inf. Process. Cybern. The full citation details ... 1982 DBLP  BibTeX  RDF
19M. A. Marson Bounds on Bus and Memory Interference in a class of Multiple Bus Multiprocessor Systems. Search on Bibsonomy ICDCS The full citation details ... 1982 DBLP  BibTeX  RDF
18R. Baskaran, K. Krishnaiah Simulation model to determine frequency of a single bus route with single and multiple headways. Search on Bibsonomy Int. J. Bus. Perform. Supply Chain Model. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Frédéric Pétrot, Denis Hommais, Alain Greiner Cycle precise core based hardware/software system simulation with predictable event propagation. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF hardware software system simulation, predictable event propagation, cycle precise core based system simulator, digital embedded systems, Mealy signals, combinational signals, MIPS R3000, microprocessor core, PI-Bus, Pentium 120, communication, graph, C, high level synthesis, memories, directed graph, FSM, topological sort, compile-time, communicating finite state machines
17Petru Emanuel Stingu, Frank L. Lewis A Hardware Platform for Research in Helicopter UAV Control. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF UAV helicopter, Multi-vehicle cooperation, Real-time control, CAN bus
17Mohsen Saneei, Ali Afzali-Kusha, Zainalabedin Navabi Sign Bit Reduction Encoding For Low Power Applications. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Low power multiplier, Signed multiplier, Sign extension, FIR filter, Power reduction, Energy reduction, Bus encoding
17Petr Krist Advanced Industrial Communications. Search on Bibsonomy Towards Intelligent Engineering and Information Technology The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CANopen, Ethernet Powerlink, NMT, solunode, PDO, RS-485, SDO, slave, communication, network, protocol, coding, Ethernet, TDMA, frame, CAN, layer, bus, bit-rate, master, fieldbus, Fast Ethernet
17Roy Chaoming Hsu, Yaw-Yu Lee, Bin-Wen Kao, Din-Yuen Chan Hardware Design of Shape-Preserving Contour Tracing for Object of Segmented Images. Search on Bibsonomy PSIVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF AMBA bus, SOC, Boundary Extraction, Contour Tracing
17Jianbin Huang, Zongwu Xie, Hong Liu 0002, Kai Sun, Yechao Liu, Zainan Jiang DSP/FPGA-based Controller Architecture for Flexible Joint Robot with Enhanced Impedance Performance. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF M-LVDS serial data bus, Torque ripple, FPGA, DSP, Impedance control, Flexible joint
17Rajeev Kumar 0004, Dipankar Das 0002 Code compression for performance enhancement of variable-length embedded processors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF bus switching, code decompression, instruction memory, variable-length ISAs, embedded systems, Code compression, RISC processor
17Dragos Truscan, Tiberiu Seceleanu, Johan Lilius, Hannu Tenhunen A Model-Based Design Process for the SegBus Distributed Architecture. Search on Bibsonomy ECBS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Segmented Bus Architecture, UML, MDA, model transformation
17Kota Tsubouchi, Kazuo Hiekata, Hiroyuki Yamato A Research on Predicting the Operation Function for the Workflow-Based Knowledge Management Software. Search on Bibsonomy ITNG The full citation details ... 2008 DBLP  DOI  BibTeX  RDF On-Demand Bus project, recommender system, knowledge management, decision tree
17Ricky E. Sward SP1: service oriented architecture (SOA) concepts and implementations for Ada. Search on Bibsonomy SIGAda The full citation details ... 2008 DBLP  DOI  BibTeX  RDF software engineering, service oriented architecture, reliability, ada, software, languages, systems, web server, enterprise service bus, high integrity
17Tom Goovaerts, Bart De Win, Wouter Joosen A comparison of two approaches for achieving flexible and adaptive security middleware. Search on Bibsonomy Middleware Security The full citation details ... 2008 DBLP  DOI  BibTeX  RDF security service bus, middleware, aspect-oriented programming, composition, messaging
17Chunjie Duan, Chengyu Zhu, Sunil P. Khatri Forbidden transition free crosstalk avoidance CODEC design. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF crosstalk, CODEC, on-chip bus, Fibonacci number
17Xinping Zhu, Sharad Malik A hierarchical modeling framework for on-chip communication architectures of multiprocessing SoCs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF on-chip communication architecture, network-on-chip, multiprocessor system, object-oriented modeling, packet-switching network, design exploration, bus, Retargetable simulation
17Till Luhmann, Jürgen Meister, Christian Wulff Serviceorientierte Produktplattform für das Energiemanagementsystem der Zukunft. Search on Bibsonomy Wirtschaftsinf. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Energy Management System, Service Bus, Integration Solution, Product Platform, Service-Oriented Architecture
17Mike P. Papazoglou, Willem-Jan van den Heuvel Service oriented architectures: approaches, technologies and research issues. Search on Bibsonomy VLDB J. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Asynchronous and event-driven processing, Application and service integration, Enterprise bus, Web services, Service oriented architecture
17Soo Yun Hwang, Hyeong Jun Park, Kyoung Son Jhang An implementation and performance analysis of slave-side arbitration schemes for the ML-AHB BusMatrix. Search on Bibsonomy SAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF arbitration scheme, multi-layer AHB BusMatrix, slave-side arbitration, system on a chip, on chip bus
17Daniel Jiménez-González, Xavier Martorell, Alex Ramírez Performance Analysis of Cell Broadband Engine for High Memory Bandwidth Applications. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF arithmetic performance analysis, memory bandwidth application, synergistic processor element, DMA controller, direct memory access, element interconnect bus, bandwidth performance peak, processor component, message passing interface, data stream, single instruction multiple data, cell broadband engine, streaming programming model, processor speed
17Matthew A. Smith, Lars A. Schreiner, Erich Barke, Volker Meyer zu Bexten Algorithms for automatic length compensation of busses in analog integrated circuits. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF analog routing, length compensation, net bundles, paired nets, virtual terminals, routing, EDA, RF, bus routing, IC-layout
17Ricky E. Sward Using ada in a service-Ooriented architecture. Search on Bibsonomy SIGAda The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SOA, service-oriented architecture, software architecture, enterprise service bus, ESB
17Satish Narayana Srirama, Matthias Jarke, Wolfgang Prinz Mobile web services mediation framework. Search on Bibsonomy MW4SOC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF QoS, service discovery, mobile web services, enterprise service bus
17Nand Kishor, P. R. Sharma, A. S. Raghuvanshi An Investigation on Pruned NNARX Identification Model of Hydropower Plant. Search on Bibsonomy Eng. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Hydroturbine, Single machine infinite bus, Governor, Neural network, Identification, Pruned, Exciter
17Tuomas Lindroth, Raicea Lavinia, Tiberiu Seceleanu, Nastooh Avessta, Jukka Teuhola Building a UML Profile for On-Chip Distributed Platforms. Search on Bibsonomy COMPSAC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Segmented bus, platform profile, UML
17Nand Kishor, R. P. Saini, S. P. Singh Small hydro power plant identification using NNARX structure. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Hydro turbine, Single machine infinite bus, Exogenous input signal, Neural network, Identification
17Ian Broster, Alan Burns 0001, Guillermo Rodríguez-Navas Timing Analysis of Real-Time Communication Under Electromagnetic Interference. Search on Bibsonomy Real Time Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF TTCAN, probabilistic timing analysis, electromagnetic interference, bus guardian, babbling idiot, dependability, faults, Controller Area Network, CAN
17Krishna Sekar, Kanishka Lahiri, Anand Raghunathan, Sujit Dey FLEXBUS: a high-performance system-on-chip communication architecture with a dynamically configurable topology. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF communication architectures, on-chip bus
17Mohsen Saneei, Ali Afzali-Kusha, Zainalabedin Navabi Sign bit reduction encoding for low power applications. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF signed multiplier, sing extension, low power, switching activity, bus encoding
17Nattawut Thepayasuwan, Alex Doboli Hardware-Software Co-Design of Resource Constrained Systems on a Chip. Search on Bibsonomy ICDCS Workshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF bus architectures, layout awarness, optimization, hardware/software co-design, trade-offs
17Jie Li 0002, Yi Pan 0001, Hong Shen 0001 More Efficient Topological Sort Using Reconfigurable Optical Buses. Search on Bibsonomy J. Supercomput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF time complexity, analysis of algorithms, massive parallelism, graph problem, optical bus
17Heiko Zimmer, Axel Jantsch A fault model notation and error-control scheme for switch-to-switch buses in a network-on-chip. Search on Bibsonomy CODES+ISSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF fault tolerance, network-on-chip, bus encoding
17Yu Cao 0001, Xiaodong Yang, Xuejue Huang, Dennis Sylvester Switch-Factor Based Loop RLC Modeling for Efficient Timing Analysis. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF RLC model, loop inductance, switch-factor, current return loop, data-bus and clock, static timing analysis, slew rate
17Ketan N. Patel, Igor L. Markov Error-correction and crosstalk avoidance in DSM busses. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF DSM busses, error-correction, crosstalk noise, bus encoding
17Samar Abdi, Dongwan Shin, Daniel Gajski Automatic communication refinement for system level design. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF system bus, communication, system modeling, system level design, model refinement
17Jun Yang 0002, Rajiv Gupta 0001 Frequent value locality and its applications. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Frequently occurring values, encoding techniques, low power data bus, low power data cache, value profiling
17Jason E. Fritts, Roger D. Chamberlain Breaking the Memory Bottleneck with an Optical Data Path. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF bandwidth bottleneck, processor-memory gap, performance evaluation, media processing, optical bus
17Hatem M. El-Boghdadi, Ramachandran Vaidyanathan, Jerry L. Trahan, Suresh Rai On the Communication Capability of the Self-Reconfigurable Gate Array Architecture. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Segmentable Bus, FPGAs, Communication complexity, Run-time Reconfiguration, Self Reconfiguration
17Kristian Sandström, Christer Norström, Magnus Ahlmark Frame packing in real-time communication. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF frame packing, common computational model, signal exchange, physical device, signal size, deadline requirement, broadcast bus, variable sized frames, resource perspective, periodic frames, network bandwidth requirement, simple heuristics, signal sets, CAN based system, Local Interconnect Network, cost sensitive embedded systems, car control systems, real-time systems, computational complexity, distributed processing, NP-hard, communication networks, bandwidth allocation, real time communication, microcontrollers, microcontrollers, controller area networks, distributed embedded systems, objective function, automobiles, automotive applications, production cost
Displaying result #901 - #1000 of 14699 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license