|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 4167 occurrences of 2110 keywords
|
|
|
Results
Found 14725 publication records. Showing 14699 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
19 | Ilgin Gökasar, Yigit Çetinel |
Analysis of the Effect of the Lane-Drops on the Traffic near Bus Stops using Bus GPS Data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ANT/SEIT ![In: The 8th International Conference on Ambient Systems, Networks and Technologies (ANT 2017) / The 7th International Conference on Sustainable Energy Information Technology (SEIT 2017), 16-19 May 2017, Madeira, Portugal, pp. 466-473, 2017, Elsevier. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
19 | Tinghai Pang, Lei Duan, Jyrki Nummenmaa, Jie Zuo, Peng Zhang |
Bus-OLAP: A Bus Journey Data Management Model for Non-on-time Events Query. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APWeb/WAIM (2) ![In: Web and Big Data - First International Joint Conference, APWeb-WAIM 2017, Beijing, China, July 7-9, 2017, Proceedings, Part II, pp. 185-200, 2017, Springer, 978-3-319-63563-7. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
19 | Junghan Baek, Keemin Sohn |
Deep-Learning Architectures to Forecast Bus Ridership at the Stop and Stop-To-Stop Levels for Dense and Crowded Bus Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Appl. Artif. Intell. ![In: Appl. Artif. Intell. 30(9), pp. 861-885, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Brian J. d'Auriol |
All-optical Linear Array with a Reconfigurable Pipelined Bus System (OLARPBS) optical bus parallel computing model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 72(2), pp. 753-769, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Dan Wan, Camille Kamga, Wei Hao, Aaron Sugiura, Eric B. Beaton |
Customer satisfaction with bus rapid transit: a study of New York City select bus service applying structural equation modeling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Public Transp. ![In: Public Transp. 8(3), pp. 497-520, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Kenny Supangat, Yustinus Eko Soelistio |
Bus Stops Location and Bus Route Planning Using Mean Shift Clustering and Ant Colony in West Jakarta. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1611.05579, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP BibTeX RDF |
|
19 | Raul S. Barth, Renata Galante |
Passenger density and flow analysis and city zones and bus stops classification for public bus service management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBBD ![In: 31º Simpósio Brasileiro de Banco de Dados, SBBD 2016, Salvador, Bahia, Brasil, October 4-7, 2016., pp. 217-222, 2016, SBC. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP BibTeX RDF |
|
19 | João F. M. Sarubbi, Caio Mário Mesquita, Elizabeth F. Wanner, Vinícius Fernandes dos Santos, Cristiano M. Silva |
A strategy for clustering students minimizing the number of bus stops for solving the school bus routing problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NOMS ![In: 2016 IEEE/IFIP Network Operations and Management Symposium, NOMS 2016, Istanbul, Turkey, April 25-29, 2016, pp. 1175-1180, 2016, IEEE, 978-1-5090-0223-8. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Luyan Xiao, Xiaopeng Fan, Haixia Mao, Cheng-Zhong Xu 0001, Ping Lu 0008, Shengmei Luo |
When Taxi Meets Bus: Night Bus Stop Planning over Large-Scale Traffic Data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCBD ![In: 7th International Conference on Cloud Computing and Big Data, CCBD 2016, Macau, China, November 16-18, 2016, pp. 19-24, 2016, IEEE Computer Society, 978-1-5090-3555-7. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Joana Hora Martins, Teresa Galvão Dias, Ana S. Camanho |
Improving the Service Level of Bus Transportation Systems: Evaluation and Optimization of Bus Schedules' Robustness. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IESS ![In: Exploring Services Science - 7th International Conference, IESS 2016, Bucharest, Romania, May 25-27, 2016, Proceedings, pp. 604-618, 2016, Springer, 978-3-319-32688-7. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Jiqin Zhou, Weigong Zhang, Keni Qiu, Xiaoyan Zhu |
UM-BUS: An online fault-tolerant bus for embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 17th International Symposium on Quality Electronic Design, ISQED 2016, Santa Clara, CA, USA, March 15-16, 2016, pp. 198-204, 2016, IEEE, 978-1-5090-1213-8. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Khaja Ahmad Shaik, Kiyoo Itoh 0002, Amara Amara |
0.5-V 50-mV-swing 1.2-GHz 28-nm-FD-SOI 32-bit dynamic bus architecture with dummy bus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 17th International Symposium on Quality Electronic Design, ISQED 2016, Santa Clara, CA, USA, March 15-16, 2016, pp. 380-385, 2016, IEEE, 978-1-5090-1213-8. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Liang Li 0004, Chao Yang 0006, Yahui Zhang, Lipeng Zhang, Jian Song |
Correctional DP-Based Energy Management Strategy of Plug-In Hybrid Electric Bus for City-Bus Route. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Veh. Technol. ![In: IEEE Trans. Veh. Technol. 64(7), pp. 2792-2803, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
19 | Xiaoxiao Jiang, David H. C. Du |
BUS-VANET: A BUS Vehicular Network Integrated with Traffic Infrastructure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Intell. Transp. Syst. Mag. ![In: IEEE Intell. Transp. Syst. Mag. 7(2), pp. 47-57, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
19 | Cong Bai, Zhong-Ren Peng, Qing-Chang Lu, Jian Sun |
Dynamic Bus Travel Time Prediction Models on Road with Multiple Bus Routes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Intell. Neurosci. ![In: Comput. Intell. Neurosci. 2015, pp. 432389:1-432389:9, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
19 | Shucong Jia, Zishan Liu, Konglin Zhu, Lin Zhang 0013, Zubair Md. Fadlullah, Nei Kato |
Bus-Ads: Bus-based priced advertising in VANETs using coalition formation game. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICC ![In: 2015 IEEE International Conference on Communications, ICC 2015, London, United Kingdom, June 8-12, 2015, pp. 3628-3633, 2015, IEEE, 978-1-4673-6432-4. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
19 | Longcheng Tan, Bin Wu 0007, Sebastian Rivera |
A bipolar-DC-bus EV fast charging station with intrinsic DC-bus voltages equalization and minimized voltage ripples. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IECON ![In: IECON 2015 - 41st Annual Conference of the IEEE Industrial Electronics Society, Yokohama, Japan, November 9-12, 2015, pp. 2190-2195, 2015, IEEE, 978-1-4799-1762-4. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
19 | Masamichi Takakura, Mikiko Sode Tanaka |
Bus transportation system design of community bus "Notty" based on optimization theory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SII ![In: 2015 IEEE/SICE International Symposium on System Integration, SII 2015, Nagoya, Japan, December 11-13, 2015, pp. 489-494, 2015, IEEE, 978-1-4673-7242-8. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
19 | Han-Shue Tan, Jihua Huang |
The design and implementation of an automated bus in revenue service on a bus rapid transit line. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACC ![In: American Control Conference, ACC 2014, Portland, OR, USA, June 4-6, 2014, pp. 5288-5293, 2014, IEEE, 978-1-4799-3272-6. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Chun-Ming Tsai, Zong-Mu Yeh |
Detection of Bus Routes Number in Bus Panel via Learning Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACIIDS (2) ![In: Intelligent Information and Database Systems - 6th Asian Conference, ACIIDS 2014, Bangkok, Thailand, April 7-9, 2014, Proceedings, Part II, pp. 302-311, 2014, Springer, 978-3-319-05457-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Peng Wu 0004, Feng Chu 0001, Ada Che, Qin Shi |
A bus lane reservation problem in urban bus transit network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITSC ![In: 17th International IEEE Conference on Intelligent Transportation Systems, ITSC 2014, Qingdao, China, October 8-11, 2014, pp. 2864-2869, 2014, IEEE, 978-1-4799-6078-1. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Ching-Ching Cheng, Chun-Ming Tsai |
Using Red-Otsu Thresholding to Detect the Bus Routes Number for Helping Blinds to Take Bus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEA/AIE (1) ![In: Modern Advances in Applied Intelligence - 27th International Conference on Industrial Engineering and Other Applications of Applied Intelligent Systems, IEA/AIE 2014, Kaohsiung, Taiwan, June 3-6, 2014, Proceedings, Part I, pp. 321-330, 2014, Springer, 978-3-319-07454-2. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Hua-Hsin Yeh, Wen-Pin Tu, Jian-Zhi Shen, Tung-Hua Yen, Shih-Hsu Huang |
Abstract bus interface unit for ESL design from TLM 2.0 communications to the real bus protocol. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APCCAS ![In: 2014 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2014, Ishigaki, Japan, November 17-20, 2014, pp. 611-614, 2014, IEEE, 978-1-4799-5230-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Virot Chiraphadhanakul, Cynthia Barnhart |
Incremental bus service design: combining limited-stop and local bus services. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Public Transp. ![In: Public Transp. 5(1-2), pp. 53-78, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Patrick Schittekat, Joris Kinable, Kenneth Sörensen, Marc Sevaux, Frits C. R. Spieksma, Johan Springael |
A metaheuristic for the school bus routing problem with bus stop selection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Eur. J. Oper. Res. ![In: Eur. J. Oper. Res. 229(2), pp. 518-528, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Chung-Ming Own, Da-Sheng Lee, Ti-Ho Wang, De-Jun Wang, Yu-Lun Ting |
Performance Evaluation of UHF RFID Technologies for Real-Time Bus Recognition in the Taipei Bus Station. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sensors ![In: Sensors 13(6), pp. 7797-7812, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Ikuko Shigihara, Akiko Arai, Osamu Saitou, Yuji Kuwahara, Masaru Kamada |
A Dynamic Bus Guide Based on Real-Time Bus Locations - A Demonstration Plan. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NBiS ![In: 16th International Conference on Network-Based Information Systems, NBiS 2013, Seo-gu, Gwangju, CA, Korea (South), September 4-6, 2013, pp. 436-438, 2013, IEEE Computer Society, 978-0-7695-5052-7. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Jorge Valero-Rodriguez, Manuel Garcia-Plaza, Joaquin Eloy-Garcia Carrasco |
Dynamic performance of a back-to-back converter under grid disturbances with a classical DC-bus voltage control loop v.s. a DC-bus voltage control loop with Ni-Cd and Ion-Li batteries. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IECON ![In: IECON 2013 - 39th Annual Conference of the IEEE Industrial Electronics Society, Vienna, Austria, November 10-13, 2013, pp. 7462-7468, 2013, IEEE, 978-1-4799-0224-8. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Chun-Ming Tsai, Zong-Mu Yeh |
Text detection in bus panel for visually impaired people ¿seeing¿ bus route number. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICMLC ![In: International Conference on Machine Learning and Cybernetics, ICMLC 2013, Tianjin, China, July 14-17, 2013, pp. 1234-1239, 2013, IEEE. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Mohammed Ridha Benosman |
Conception et évaluation de performance d'un Bus applicatif, massivement parallèle et orienté service. (Design and Performance Evaluation of a Massively Parallel Service-Oriented Bus). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2013 |
RDF |
|
19 | Tsung-Po Chen |
Zero-Sequence Circulating Current Reduction Method for Parallel HEPWM Inverters Between AC Bus and DC Bus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Ind. Electron. ![In: IEEE Trans. Ind. Electron. 59(1), pp. 290-300, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Po-Hsun Wu, Tsung-Yi Ho |
Bus-driven floorplanning with bus pin assignment and deviation minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Integr. ![In: Integr. 45(4), pp. 405-426, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Kan Zhao, Qiang Li, Guosheng Zhang, Wei Wang, Zhongxiang Li |
Research on driving characteristics of double-articulated bus docking the bay bus station. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMEIT ![In: International Conference on Electronic and Mechanical Engineering and Information Technology, EMEIT 2011, Harbin, Heilongjiang, China, 12-14 August, 2011, pp. 393-396, 2011, IEEE, 978-1-61284-087-1. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Mojaharul Islam, Hong-Hee Lee |
A Comprehensive Study on IEC61850 Process Bus Architecture and Spit Bus Based Differential Protection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIC (2) ![In: Advanced Intelligent Computing Theories and Applications. With Aspects of Artificial Intelligence - 7th International Conference, ICIC 2011, Zhengzhou, China, August 11-14, 2011, Revised Selected Papers, pp. 317-324, 2011, Springer, 978-3-642-25943-2. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Kota Tsubouchi, Hiroyuki Yamato, Kazuo Hiekata |
Development and Evaluation of New Interface for Registration of New Bus Stops for the On-Demand Bus System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Intell. Transp. Syst. Res. ![In: Int. J. Intell. Transp. Syst. Res. 8(3), pp. 188-200, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
19 | Ji Gu, Hui Guo 0001 |
An Efficient Segmental Bus-Invert Coding Method for Instruction Memory Data Bus Switching Reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EURASIP J. Embed. Syst. ![In: EURASIP J. Embed. Syst. 2009, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
19 | Yindong Shen, Jiahong Xia |
Integrated bus transit scheduling for the Beijing bus group based on a unified mode of operation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. Trans. Oper. Res. ![In: Int. Trans. Oper. Res. 16(2), pp. 227-242, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
19 | Maged Ghoneima, Yehea I. Ismail, Muhammad M. Khellah, James W. Tschanz, Vivek De |
Serial-Link Bus: A Low-Power On-Chip Bus Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. I Regul. Pap. ![In: IEEE Trans. Circuits Syst. I Regul. Pap. 56-I(9), pp. 2020-2032, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
19 | Ji Gu, Hui Guo 0001 |
A Segmental Bus-invert Coding Method for Instruction Memory Data Bus Power Efficiency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2009), 24-17 May 2009, Taipei, Taiwan, pp. 137-140, 2009, IEEE, 978-1-4244-3827-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
19 | Chi Dung Tran |
Vers un environnement générique et configurable pour l'aide à l'évaluation des systèmes interactifs à base d'agents, Application à un Système d'Aide à l'Information voyageur dans le domaine des transports commun (bus, Tram). (Towards a generic and configurable environment to support the evaluation of the agent-based interactive systems with agents, Application to a IAS (Information Assistance System) for passengers in the field of common transport (bus, tram)). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2009 |
RDF |
|
19 | Valérie Guihaire |
Modélisation et Optimisation pour le Graphicage des Lignes de Bus. (Models and Optimisation for Bus Network Timetabling). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2009 |
RDF |
|
19 | Shangyao Yan, Ching-Hui Tang |
An Integrated Framework for Intercity Bus Scheduling Under Stochastic Bus Travel Times. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Transp. Sci. ![In: Transp. Sci. 42(3), pp. 318-335, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
19 | Neeta Doifode, Dinesh Padole, Preeti R. Bajaj |
Dynamic Lottery Bus Arbiter for Shared Bus System on Chip: A Design Approach with VHDL. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICETET ![In: First International Conference on Emerging Trends in Engineering and Technology, ICETET '08, Nagpur, Maharashtra, India, July 16-18, 2008, pp. 984-989, 2008, IEEE Computer Society, 978-0-7695-3267-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
19 | Tiedong Wang, Fengjing Shao, Rencheng Sun, He Huang |
A Hardware Implement of Bus Bridge Based on Single CPU and Dual Bus Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCSCT (1) ![In: 2008 International Symposium on Computer Science and Computational Technology, ISCSCT 2008, 20-22 December 2008, Shanghai, China, 2 Volumes, pp. 17-20, 2008, IEEE Computer Society, 978-0-7695-3498-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
19 | Fraser N. McLeod |
Estimating bus passenger waiting times from incomplete bus arrivals data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Oper. Res. Soc. ![In: J. Oper. Res. Soc. 58(11), pp. 1518-1525, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
19 | Myungchul Yoon, Byeong-Hee Roh |
A Novel Low-Power Bus Design for Bus-Invert Coding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Electron. ![In: IEICE Trans. Electron. 90-C(4), pp. 731-734, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
19 | Rasheek Rifaat, Bruce Baily, Gerald Dalke, Brent Duncan, Charles J. Mozina, Louie J. Powell, Jay Fischer, Alex Y. Wu, Joe Weber, James Daley |
Bus and Breaker Fail Protection for Industrial and Commercial Power Systems Part I: Introduction and Bus Protection Summary- Working Group Report. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IAS ![In: Conference Record of the 2007 IEEE Industry Applications Conference Forty-Second IAS Annual Meeting, New Orleans, LA, USA, September 23-27, 2007, pp. 890-897, 2007, IEEE, 978-1-4244-1259-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
19 | Maged Ghoneima, Yehea I. Ismail, Muhammad M. Khellah, James W. Tschanz, Vivek De |
Serial-link bus: a low-power on-chip bus architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2005 International Conference on Computer-Aided Design, ICCAD 2005, San Jose, CA, USA, November 6-10, 2005, pp. 541-546, 2005, IEEE Computer Society, 0-7803-9254-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
19 | Siu-Kei Wong, Chi-Ying Tsui |
Dynamic reconfigurable bus encoding scheme for reducing the energy consumption of deep sub-micron instruction bus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (2) ![In: Proceedings of the 2004 International Symposium on Circuits and Systems, ISCAS 2004, Vancouver, BC, Canada, May 23-26, 2004, pp. 321-324, 2004, IEEE, 0-7803-8251-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP BibTeX RDF |
|
19 | D. A. Chapman, P. A. Davies, J. Monk |
Code-division multiple-access in an optical fiber LAN with amplified bus topology: the SLIM bus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Commun. ![In: IEEE Trans. Commun. 50(9), pp. 1405-1408, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
19 | Daniel Gomez-Ibanez, Ethan Stump, Benjamin Grocholsky, Vijay Kumar 0001, Camillo J. Taylor |
The robotics bus: a local communications bus for robots. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mobile Robots ![In: Mobile Robots XVII, Philadelphia, PA, USA, October 25, 2004, pp. 155-163, 2002, SPIE, 978-0-8194-5562-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
19 | C. Yeung, Anssi Haverinen, Graham Matthews, Jonathan Morris, Jauher Zaidi |
Standard bus vs. bus wrapper: what is the best solution for future SoC integration? ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2001, Munich, Germany, March 12-16, 2001, pp. 776-777, 2001, IEEE Computer Society, 0-7695-0993-2. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP BibTeX RDF |
|
19 | D. Torres Roman, J. Gonzalez, M. Guzman |
A New Bus Assignment Algorithm for a Shared Bus Switch Fabric. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: VLSI Design 11(4), pp. 339-351, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
19 | Gyungho Lee, Bland Quattlebaum, Sangyeun Cho, Larry L. Kinney |
Global Bus Design of a Bus-Based COMA Multiprocessor DICE. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 1996 International Conference on Computer Design (ICCD '96), VLSI in Computers and Processors, October 7-9, 1996, Austin, TX, USA, Proceedings, pp. 231-240, 1996, IEEE Computer Society, 0-8186-7554-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
19 | Steven H. Vanderleest, Ravishankar K. Iyer |
Measurement of I/O bus contention and correlation among heterogeneous device types in a single-bus multiprocessor system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGARCH Comput. Archit. News ![In: SIGARCH Comput. Archit. News 22(4), pp. 17-22, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
19 | Yen-Jen Oyang, Le-Chun Wu |
Optimal Design of Megabyte Second-Level Caches for Minimizing Bus Traffic in Shared-Memory Shared-Bus Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Syst. ![In: Comput. Syst. 7(3), pp. 393-408, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP BibTeX RDF |
|
19 | Mohammad S. Obaidat, M. A. Radaideh |
A comparative simulation study of the performance of single-bus and two-bus multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Simul. ![In: Simul. 56(1), pp. 9-18, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
19 | Peter A. Sichel |
ACCESS.bus, an Open Desktop Bus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Digit. Tech. J. ![In: Digit. Tech. J. 3(4), 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP BibTeX RDF |
|
19 | Arthur L. Dexter |
Microcomputer bus structures and bus interface design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Autom. ![In: Autom. 24(2), pp. 291-292, 1988. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
19 | Heinrich Dietsch, M. E. Ögrük, Roya Ulrich |
LABORINTH - Ein einheitliches Kommunikationskonzept für PDV-Bus, BITBUS und IEC-Bus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Prozeßrechnersysteme ![In: Prozeßrechnersysteme '88, Automatisierungstechnik, Leittechnik, Informations- und Kommunikationstechnik, Stuttgart, 2.-4. März 1988, Proceedings, pp. 173-182, 1988, Springer, 3-540-18986-6. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
19 | Alan Clements 0001 |
Microprocessor bus structures and bus interface design: Arthur L Dexter Marcel Dekker, New York, NY, USA (1986) $59.75 (USA), $71.50 (elsewhere) pp 339. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 11(4), pp. 237, 1987. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
|
19 | Göran Pulkkis |
A Comparison of Some Mathematical Models of the Bus Traffic in a Single Bus Multimicroprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Inf. Process. Cybern. ![In: J. Inf. Process. Cybern. 18(1/2), pp. 41-55, 1982. The full citation details ...](Pics/full.jpeg) |
1982 |
DBLP BibTeX RDF |
|
19 | M. A. Marson |
Bounds on Bus and Memory Interference in a class of Multiple Bus Multiprocessor Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: Proceedings of the 3rd International Conference on Distributed Computing Systems, Miami/Ft. Lauderdale, Florida, USA, October 18-22, 1982, pp. 792-798, 1982, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
1982 |
DBLP BibTeX RDF |
|
18 | R. Baskaran, K. Krishnaiah |
Simulation model to determine frequency of a single bus route with single and multiple headways. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Bus. Perform. Supply Chain Model. ![In: Int. J. Bus. Perform. Supply Chain Model. 4(1), pp. 40-59, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
18 | Frédéric Pétrot, Denis Hommais, Alain Greiner |
Cycle precise core based hardware/software system simulation with predictable event propagation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROMICRO ![In: 23rd EUROMICRO Conference '97, New Frontiers of Information Technology, 1-4 September 1997, Budapest, Hungary, pp. 182-187, 1997, IEEE Computer Society, 0-8186-8129-2. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
hardware software system simulation, predictable event propagation, cycle precise core based system simulator, digital embedded systems, Mealy signals, combinational signals, MIPS R3000, microprocessor core, PI-Bus, Pentium 120, communication, graph, C, high level synthesis, memories, directed graph, FSM, topological sort, compile-time, communicating finite state machines |
17 | Petru Emanuel Stingu, Frank L. Lewis |
A Hardware Platform for Research in Helicopter UAV Control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Intell. Robotic Syst. ![In: J. Intell. Robotic Syst. 54(1-3), pp. 387-406, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
UAV helicopter, Multi-vehicle cooperation, Real-time control, CAN bus |
17 | Mohsen Saneei, Ali Afzali-Kusha, Zainalabedin Navabi |
Sign Bit Reduction Encoding For Low Power Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Signal Process. Syst. ![In: J. Signal Process. Syst. 57(3), pp. 321-329, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Low power multiplier, Signed multiplier, Sign extension, FIR filter, Power reduction, Energy reduction, Bus encoding |
17 | Petr Krist |
Advanced Industrial Communications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Towards Intelligent Engineering and Information Technology ![In: Towards Intelligent Engineering and Information Technology, pp. 365-376, 2009, Springer, 978-3-642-03736-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
CANopen, Ethernet Powerlink, NMT, solunode, PDO, RS-485, SDO, slave, communication, network, protocol, coding, Ethernet, TDMA, frame, CAN, layer, bus, bit-rate, master, fieldbus, Fast Ethernet |
17 | Roy Chaoming Hsu, Yaw-Yu Lee, Bin-Wen Kao, Din-Yuen Chan |
Hardware Design of Shape-Preserving Contour Tracing for Object of Segmented Images. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PSIVT ![In: Advances in Image and Video Technology, Third Pacific Rim Symposium, PSIVT 2009, Tokyo, Japan, January 13-16, 2009. Proceedings, pp. 976-987, 2009, Springer, 978-3-540-92956-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
AMBA bus, SOC, Boundary Extraction, Contour Tracing |
17 | Jianbin Huang, Zongwu Xie, Hong Liu 0002, Kai Sun, Yechao Liu, Zainan Jiang |
DSP/FPGA-based Controller Architecture for Flexible Joint Robot with Enhanced Impedance Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Intell. Robotic Syst. ![In: J. Intell. Robotic Syst. 53(3), pp. 247-261, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
M-LVDS serial data bus, Torque ripple, FPGA, DSP, Impedance control, Flexible joint |
17 | Rajeev Kumar 0004, Dipankar Das 0002 |
Code compression for performance enhancement of variable-length embedded processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 7(3), pp. 35:1-35:36, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
bus switching, code decompression, instruction memory, variable-length ISAs, embedded systems, Code compression, RISC processor |
17 | Dragos Truscan, Tiberiu Seceleanu, Johan Lilius, Hannu Tenhunen |
A Model-Based Design Process for the SegBus Distributed Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECBS ![In: 15th Annual IEEE International Conference and Workshop on Engineering of Computer Based Systems (ECBS 2008), 31 March - 4 April 2008, Belfast, Northern Ireland, pp. 307-316, 2008, IEEE Computer Society, 978-0-7695-3141-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Segmented Bus Architecture, UML, MDA, model transformation |
17 | Kota Tsubouchi, Kazuo Hiekata, Hiroyuki Yamato |
A Research on Predicting the Operation Function for the Workflow-Based Knowledge Management Software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITNG ![In: Fifth International Conference on Information Technology: New Generations (ITNG 2008), 7-8 April 2008, Las Vegas, Nevada, USA, pp. 823-828, 2008, IEEE Computer Society, 978-0-7695-3099-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
On-Demand Bus project, recommender system, knowledge management, decision tree |
17 | Ricky E. Sward |
SP1: service oriented architecture (SOA) concepts and implementations for Ada. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGAda ![In: Proceedings of the 2008 Annual ACM SIGAda International Conference on Ada, Portland, OR, USA, October 26-30, 2008, pp. 3-4, 2008, ACM, 978-1-60558-274-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
software engineering, service oriented architecture, reliability, ada, software, languages, systems, web server, enterprise service bus, high integrity |
17 | Tom Goovaerts, Bart De Win, Wouter Joosen |
A comparison of two approaches for achieving flexible and adaptive security middleware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Middleware Security ![In: Proceedings of the Workshop on Middleware Security, Leuven, Belgium, December 2, 2008, pp. 19-24, 2008, ACM, 978-1-60558-363-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
security service bus, middleware, aspect-oriented programming, composition, messaging |
17 | Chunjie Duan, Chengyu Zhu, Sunil P. Khatri |
Forbidden transition free crosstalk avoidance CODEC design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 986-991, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
crosstalk, CODEC, on-chip bus, Fibonacci number |
17 | Xinping Zhu, Sharad Malik |
A hierarchical modeling framework for on-chip communication architectures of multiprocessing SoCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 12(1), pp. 6:1-6:24, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
on-chip communication architecture, network-on-chip, multiprocessor system, object-oriented modeling, packet-switching network, design exploration, bus, Retargetable simulation |
17 | Till Luhmann, Jürgen Meister, Christian Wulff |
Serviceorientierte Produktplattform für das Energiemanagementsystem der Zukunft. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Wirtschaftsinf. ![In: Wirtschaftsinf. 49(5), pp. 343-351, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Energy Management System, Service Bus, Integration Solution, Product Platform, Service-Oriented Architecture |
17 | Mike P. Papazoglou, Willem-Jan van den Heuvel |
Service oriented architectures: approaches, technologies and research issues. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLDB J. ![In: VLDB J. 16(3), pp. 389-415, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Asynchronous and event-driven processing, Application and service integration, Enterprise bus, Web services, Service oriented architecture |
17 | Soo Yun Hwang, Hyeong Jun Park, Kyoung Son Jhang |
An implementation and performance analysis of slave-side arbitration schemes for the ML-AHB BusMatrix. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2007 ACM Symposium on Applied Computing (SAC), Seoul, Korea, March 11-15, 2007, pp. 1545-1551, 2007, ACM, 1-59593-480-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
arbitration scheme, multi-layer AHB BusMatrix, slave-side arbitration, system on a chip, on chip bus |
17 | Daniel Jiménez-González, Xavier Martorell, Alex Ramírez |
Performance Analysis of Cell Broadband Engine for High Memory Bandwidth Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: 2007 IEEE International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings, pp. 210-219, 2007, IEEE Computer Society, 1-4244-1081-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
arithmetic performance analysis, memory bandwidth application, synergistic processor element, DMA controller, direct memory access, element interconnect bus, bandwidth performance peak, processor component, message passing interface, data stream, single instruction multiple data, cell broadband engine, streaming programming model, processor speed |
17 | Matthew A. Smith, Lars A. Schreiner, Erich Barke, Volker Meyer zu Bexten |
Algorithms for automatic length compensation of busses in analog integrated circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2007 International Symposium on Physical Design, ISPD 2007, Austin, Texas, USA, March 18-21, 2007, pp. 159-166, 2007, ACM, 978-1-59593-613-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
analog routing, length compensation, net bundles, paired nets, virtual terminals, routing, EDA, RF, bus routing, IC-layout |
17 | Ricky E. Sward |
Using ada in a service-Ooriented architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGAda ![In: Proceedings of the 2007 Annual ACM SIGAda International Conference on Ada, Fairfax, Virginia, USA, November 4-8, 2007, pp. 63-68, 2007, ACM, 978-1-59593-876-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
SOA, service-oriented architecture, software architecture, enterprise service bus, ESB |
17 | Satish Narayana Srirama, Matthias Jarke, Wolfgang Prinz |
Mobile web services mediation framework. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MW4SOC ![In: Proceedings of the 2nd Workshop on Middleware for Service Oriented Computing, MW4SOC 2007, Newport Beach, CA, USA, November 26-30, 2007, pp. 6-11, 2007, ACM, 978-1-59593-928-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
QoS, service discovery, mobile web services, enterprise service bus |
17 | Nand Kishor, P. R. Sharma, A. S. Raghuvanshi |
An Investigation on Pruned NNARX Identification Model of Hydropower Plant. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Eng. Comput. ![In: Eng. Comput. 21(4), pp. 272-281, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Hydroturbine, Single machine infinite bus, Governor, Neural network, Identification, Pruned, Exciter |
17 | Tuomas Lindroth, Raicea Lavinia, Tiberiu Seceleanu, Nastooh Avessta, Jukka Teuhola |
Building a UML Profile for On-Chip Distributed Platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPSAC (2) ![In: 30th Annual International Computer Software and Applications Conference, COMPSAC 2006, Chicago, Illinois, USA, September 17-21, 2006. Volume 2, pp. 372-373, 2006, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Segmented bus, platform profile, UML |
17 | Nand Kishor, R. P. Saini, S. P. Singh |
Small hydro power plant identification using NNARX structure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Neural Comput. Appl. ![In: Neural Comput. Appl. 14(3), pp. 212-222, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Hydro turbine, Single machine infinite bus, Exogenous input signal, Neural network, Identification |
17 | Ian Broster, Alan Burns 0001, Guillermo Rodríguez-Navas |
Timing Analysis of Real-Time Communication Under Electromagnetic Interference. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Real Time Syst. ![In: Real Time Syst. 30(1-2), pp. 55-81, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
TTCAN, probabilistic timing analysis, electromagnetic interference, bus guardian, babbling idiot, dependability, faults, Controller Area Network, CAN |
17 | Krishna Sekar, Kanishka Lahiri, Anand Raghunathan, Sujit Dey |
FLEXBUS: a high-performance system-on-chip communication architecture with a dynamically configurable topology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 571-574, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
communication architectures, on-chip bus |
17 | Mohsen Saneei, Ali Afzali-Kusha, Zainalabedin Navabi |
Sign bit reduction encoding for low power applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 214-217, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
signed multiplier, sing extension, low power, switching activity, bus encoding |
17 | Nattawut Thepayasuwan, Alex Doboli |
Hardware-Software Co-Design of Resource Constrained Systems on a Chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS Workshops ![In: 24th International Conference on Distributed Computing Systems Workshops (ICDCS 2004 Workshops), 23-24 March 2004, Hachioji, Tokyo, Japan, pp. 818-823, 2004, IEEE Computer Society, 0-7695-2087-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
bus architectures, layout awarness, optimization, hardware/software co-design, trade-offs |
17 | Jie Li 0002, Yi Pan 0001, Hong Shen 0001 |
More Efficient Topological Sort Using Reconfigurable Optical Buses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 24(3), pp. 251-258, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
time complexity, analysis of algorithms, massive parallelism, graph problem, optical bus |
17 | Heiko Zimmer, Axel Jantsch |
A fault model notation and error-control scheme for switch-to-switch buses in a network-on-chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2003, Newport Beach, CA, USA, October 1-3, 2003, pp. 188-193, 2003, ACM, 1-58113-742-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
fault tolerance, network-on-chip, bus encoding |
17 | Yu Cao 0001, Xiaodong Yang, Xuejue Huang, Dennis Sylvester |
Switch-Factor Based Loop RLC Modeling for Efficient Timing Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2003 International Conference on Computer-Aided Design, ICCAD 2003, San Jose, CA, USA, November 9-13, 2003, pp. 848-854, 2003, IEEE Computer Society / ACM, 1-58113-762-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
RLC model, loop inductance, switch-factor, current return loop, data-bus and clock, static timing analysis, slew rate |
17 | Ketan N. Patel, Igor L. Markov |
Error-correction and crosstalk avoidance in DSM busses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SLIP ![In: The 5th International Workshop on System-Level Interconnect Prediction (SLIP 2003), Monterey, CA, USA, April 5-6, 2003, Proceedings, pp. 9-14, 2003, ACM, 1-58113-627-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
DSM busses, error-correction, crosstalk noise, bus encoding |
17 | Samar Abdi, Dongwan Shin, Daniel Gajski |
Automatic communication refinement for system level design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 40th Design Automation Conference, DAC 2003, Anaheim, CA, USA, June 2-6, 2003, pp. 300-305, 2003, ACM, 1-58113-688-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
system bus, communication, system modeling, system level design, model refinement |
17 | Jun Yang 0002, Rajiv Gupta 0001 |
Frequent value locality and its applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 1(1), pp. 79-105, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Frequently occurring values, encoding techniques, low power data bus, low power data cache, value profiling |
17 | Jason E. Fritts, Roger D. Chamberlain |
Breaking the Memory Bottleneck with an Optical Data Path. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Annual Simulation Symposium ![In: Proceedings 35th Annual Simulation Symposium (ANSS-35 2002), San Diego, California, USA, 14-18 April 2002, pp. 352-362, 2002, IEEE Computer Society, 0-7695-1552-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
bandwidth bottleneck, processor-memory gap, performance evaluation, media processing, optical bus |
17 | Hatem M. El-Boghdadi, Ramachandran Vaidyanathan, Jerry L. Trahan, Suresh Rai |
On the Communication Capability of the Self-Reconfigurable Gate Array Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 16th International Parallel and Distributed Processing Symposium (IPDPS 2002), 15-19 April 2002, Fort Lauderdale, FL, USA, CD-ROM/Abstracts Proceedings, 2002, IEEE Computer Society, 0-7695-1573-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Segmentable Bus, FPGAs, Communication complexity, Run-time Reconfiguration, Self Reconfiguration |
17 | Kristian Sandström, Christer Norström, Magnus Ahlmark |
Frame packing in real-time communication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 7th International Workshop on Real-Time Computing and Applications Symposium (RTCSA 2000), 12-14 December 2000, Cheju Island, South Korea, pp. 399-403, 2000, IEEE Computer Society, 0-7695-0930-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
frame packing, common computational model, signal exchange, physical device, signal size, deadline requirement, broadcast bus, variable sized frames, resource perspective, periodic frames, network bandwidth requirement, simple heuristics, signal sets, CAN based system, Local Interconnect Network, cost sensitive embedded systems, car control systems, real-time systems, computational complexity, distributed processing, NP-hard, communication networks, bandwidth allocation, real time communication, microcontrollers, microcontrollers, controller area networks, distributed embedded systems, objective function, automobiles, automotive applications, production cost |
|
|