The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for circuit with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1949-1958 (16) 1959-1960 (20) 1961 (37) 1962 (20) 1963 (22) 1964 (30) 1965 (40) 1966-1968 (29) 1969-1970 (22) 1971-1972 (22) 1973 (16) 1974-1975 (39) 1976 (30) 1977 (28) 1978 (26) 1979 (29) 1980 (29) 1981 (26) 1982 (53) 1983 (54) 1984 (68) 1985 (89) 1986 (86) 1987 (91) 1988 (217) 1989 (205) 1990 (306) 1991 (257) 1992 (292) 1993 (420) 1994 (429) 1995 (744) 1996 (603) 1997 (602) 1998 (633) 1999 (897) 2000 (840) 2001 (843) 2002 (1113) 2003 (1371) 2004 (1403) 2005 (1935) 2006 (1900) 2007 (2030) 2008 (1770) 2009 (1325) 2010 (764) 2011 (960) 2012 (852) 2013 (942) 2014 (792) 2015 (1075) 2016 (980) 2017 (1237) 2018 (1179) 2019 (1213) 2020 (1213) 2021 (1369) 2022 (1441) 2023 (1637) 2024 (395)
Publication types (Num. hits)
article(14766) book(35) data(23) incollection(137) inproceedings(21872) phdthesis(236) proceedings(37)
Venues (Conferences, Journals, ...)
Int. J. Circuit Theory Appl.(3099) ECCTD(1441) IEEE Trans. Comput. Aided Des....(1380) ISCAS(1360) DAC(901) CoRR(752) PATMOS(650) ICCAD(638) IEEE Trans. Very Large Scale I...(570) VLSI Design(569) DATE(537) IEEE Access(458) ASP-DAC(445) ISQED(438) IEEE Trans. Ind. Electron.(409) SMACD(397) More (+10 of total 2506)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 15796 occurrences of 4131 keywords

Results
Found 37106 publication records. Showing 37106 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Anna Wang 0001, Junfang Liu, Hao Wang, Ran Tao A Novel Fault Diagnosis of Analog Circuit Algorithm Based on Incomplete Wavelet Packet Transform and Improved Balanced Binary-Tree SVMs. Search on Bibsonomy LSMS (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Munkang Choi, Linda S. Milor Impact on circuit performance of deterministic within-die variation in nanoscale semiconductor manufacturing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Natasa Miskov-Zivanov, Diana Marculescu Circuit Reliability Analysis Using Symbolic Techniques. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Jorge Campos, Hussain Al-Asaad Circuit Profiling Mechanisms for High-Level {ATPG}. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Shan Jiang, Manh Anh Do, Kiat Seng Yeo A 200-MHz CMOS Mixed-Mode Sample-and-Hold Circuit for Pipelined ADCs. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Hsin-Chou Chi, Chia-Ming Wu An Efficient Scheduler for Circuit-Switched Network-on-Chip Architectures. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Tadayoshi Enomoto, Nobuaki Kobayashi A low dynamic power and low leakage power 90-nm CMOS square-root circuit. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Sean X. Shi, Peng Yu, David Z. Pan A unified non-rectangular device and circuit simulation model for timing and power. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF physical design, VLSI CAD, device modeling
17Song Guo, Hoi Lee A low-power active substrate-noise decoupling circuit with feedforward compensation for mixed-signal SoCs. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF active substrate noise decoupling, feedforward frequency compensation, substrate noise suppression, system-on-a-chip
17Mo M. Zhang, Paul J. Hurst Effect of nonlinearity in the CMFB circuit that uses the differential-difference amplifier. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Jin-Tai Yan, Kai-Ping Lin, Yue-Fong Luo Floorplan-aware decoupling capacitance budgeting on equivalent circuit model. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Ayhan A. Mutlu, Charles Kwong, Abir Mukherjee, Mahmud Rahman Statistical circuit performance variability minimization under manufacturing variations. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Daniel Mueller 0001, Guido Stehr, Helmut E. Graeb, Ulf Schlichtmann Fast evaluation of analog circuit structures by polytopal approximations. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17R. Jancke, P. Schwarz Supporting analog synthesis by abstracting circuit behavior using a modeling methodology. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Hou-Ming Chen, Chih-Liang Huang, Robert Chen-Hao Chang A new temperature-compensated CMOS bandgap reference circuit for portable applications. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Jun-Hong Weng, Meng-Ting Tsai, Jung-Mao Lin, Ching-Yuan Yang A 1.8-Gb/s burst-mode clock and data recovery circuit with a 1/4-rate clock technique. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Haleh Vahedi, Radu Muresan, Stefano Gregori On-chip current flattening circuit with dynamic voltage scaling. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Rajesh Garg, Mario Sánchez, Kanupriya Gulati, Nikhil Jayakumar, Anshul Gupta, Sunil P. Khatri A design flow to optimize circuit delay by using standard cells and PLAs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF PLA, standard cell
17Takayuki Sato, Kazuyuki Amano, Akira Maruoka On the Negation-Limited Circuit Complexity of Sorting and Inverting k-tonic Sequences. Search on Bibsonomy COCOON The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Kenji Ohno, Hiroki Matsumoto, Kenji Murao A Switched-Voltage High-Accuracy Sample/Hold Circuit. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Shan Gao, Junning Chen, Daoming Ke, Xiulong Wu A Compact Equivalent Circuit Model of HVLDMOS and Application in HIVC Design. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Saurabh K. Tiwary, Pragati K. Tiwary, Rob A. Rutenbar Generation of yield-aware Pareto surfaces for hierarchical circuit design space exploration. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF pareto surfaces, performance space, optimization, yield
17Kuei-Chung Chang, Jih-Sheng Shen, Tien-Fu Chen Evaluation and design trade-offs between circuit-switched and packet-switched NOCs for application-specific SOCs. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF application-specific designs, low-power, NOC, SOC
17Nikhil Jayakumar, Rajesh Garg, Bruce Gamache, Sunil P. Khatri A PLA based asynchronous micropipelining approach for subthreshold circuit design. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF micro-pipelining, asynchronous, PLA, sub-threshold
17Haiying Yuan, Guangju Chen Fault Diagnosis in Nonlinear Circuit Based on Volterra Series and Recurrent Neural Network. Search on Bibsonomy ICONIP (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Felipe Machado, Teresa Riesgo, Yago Torroja A Method for Switching Activity Analysis of VHDL-RTL Combinatorial Circuits. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Preetham Lakshmikanthan, Adrian Nunez A Novel Methodology to Reduce Leakage Power in CMOS Complementary Circuits. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Xiaomeng Shi, Jianguo Ma, Kiat Seng Yeo, Manh Anh Do, Erping Li Equivalent circuit model of on-wafer CMOS interconnects for RFICs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Lech Józwiak, Szymon Bieganski High-quality Sub-function Construction in the Information-driven Circuit Synthesis with Gates. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Kenichi Okada, Yoshiaki Yoshihara, Hirotaka Sugawara, Kazuya Masu A dynamic reconfigurable RF circuit architecture. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Pascal T. Wolkotte, Gerard J. M. Smit, Gerard K. Rauwerda, Lodewijk T. Smit An Energy-Efficient Reconfigurable Circuit-Switched Network-on-Chip. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Nicola Viarani, Nicola Massari, Massimo Gottardi A new switched capacitor circuit for parallel-pixel image processing [vision sensor integrated signal processing]. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Sheng-Yu Peng, Bradley A. Minch, Paul E. Hasler A programmable floating-gate bump circuit with variable width. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Fathi A. Farag, Carlos Galup-Montoro, Márcio C. Schneider Inverter-based switched current circuit for very low-voltage and low-power applications. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Jayakumaran Sivagnaname, Hung C. Ngo, Kevin J. Nowka, Robert K. Montoye, Richard B. Brown Controlled-Load Limited Switch Dynamic Logic Circuit. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Chih-Jen Yen, Wen-Yaw Chung, Mely Chen Chi A Wide-Swing V_T-Referenced Circuit with Insensitivity to Device Mismatch. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Blair Schiffner, Jianhua Li, Laleh Behjat A Multivalue Eigenvalue Based Circuit Partitioning Technique. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Aseem Agarwal, Kaviraj Chopra, David T. Blaauw, Vladimir Zolotov Circuit optimization using statistical static timing analysis. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Valentine Kabanets, Russell Impagliazzo Derandomizing Polynomial Identity Tests Means Proving Circuit Lower Bounds. Search on Bibsonomy Comput. Complex. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF 68Q17, 68Q15, Subject classification. 68Q10
17José C. García 0001, Juan A. Montiel-Nelson, Javier Sosa, Héctor Navarro A Direct Bootstrapped CMOS Large Capacitive-Load Driver Circuit. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Jin Soo Noh, Chang Gyun Park, Kang Hyeon Rhee Path Sensitization and Sub-circuit Partition of CUT Using t-Distribution for Pseudo-exhaustive Testing. Search on Bibsonomy AsiaSim The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Tsung-Hao Chen, Jeng-Liang Tsai, Tanay Karnik HiSIM: hierarchical interconnect-centric circuit simulator. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Chunyan Wang 0004, Kuo-Ting Wu Design of a pixel array circuit for thinning process. Search on Bibsonomy ISCAS (3) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Armin Tajalli, Saeid Mehrmanesh, Seyed Mojtaba Atarodi A duty cycle control circuit for high speed applications. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Kenneth P. Parker A New Probing Technique for High-Speed/High-Density Printed Circuit Boards. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Tushar S. Shelar, G. S. Visweswaran Inclusion of Thermal Effects in the Simulation of Bipolar Circuits using Circuit Level Behavioral Modeling. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Victor N. Kravets, Prabhakar Kudva Implicit enumeration of structural changes in circuit optimization. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF optimization, decomposition, technology mapping, physical synthesis, re-synthesis
17Dionysios Kouroussis, Rubil Ahmadi, Farid N. Najm Worst-case circuit delay taking into account power supply variations. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF voltage fluctuations, static timing analysis, power grid
17Yen-Chun Lin, Yao-Hsien Hsu, Chun-Keng Liu Constructing H4, a Fast Depth-Size Optimal Parallel Prefix Circuit. Search on Bibsonomy J. Supercomput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF depth-size optimal, prefix circuits, size optimal, parallel algorithms, depth, fan-out
17H. C. Srinivasaiah, Navakanta Bhat Mixed-mode simulation approach to characterize the circuit delay sensitivity to implant dose variations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Raul Baños, Consolación Gil, Maria Dolores Gil Montoya, Julio Ortega Lopera A Parallel Evolutionary Algorithm for Circuit Partitioning. Search on Bibsonomy PDP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Feng Lu 0002, Li-C. Wang, Kwang-Ting Cheng, Ric C.-Y. Huang A Circuit SAT Solver With Signal Correlation Guided Learning. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Lech Józwiak, Szymon Bieganski, Artur Chojnacki Information-driven Library-based Circuit Synthesis. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Masaki Hashizume, Teppei Takeda, Hiroyuki Yotsuyanagi, Takeomi Tamesada, Yukiya Miura, Kozo Kinoshita A BIST Circuit for IDDQ Tests. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Gunnar Tufte, Pauline C. Haddow Building Knowledge into Developmental Rules for Circuit Design. Search on Bibsonomy ICES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17C. Karnjanapiboon, Y. Rungruengphalanggul, Itsda Boonyaroonate The low stress voltage balance charging circuit for series connected batteries based on buck-boost topology. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Haigang Feng, Rouying Zhan, Qiong Wu 0013, Guang Chen, Xiaokang Guan, Haolu Xie, Albert Z. Wang Mixed-mode ESD protection circuit simulation-design methodology. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Tetsuya Fujiwara, Yoshihiko Horio, Kazuyuki Aihara An integrated multi-scroll circuit with floating-gate MOSFETs. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17P. C. Chen, James B. Kuo Novel sub-1V CMOS domino dynamic logic circuit using a direct bootstrap (DB) technique for low-voltage CMOS VLSI. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Ahmed S. Elwakil Nonautonomous pulse-driven chaotic oscillator based on Chua's circuit. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Sei Hyung Jang A new synchronous mirror delay with an auto-skew-generation circuit. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Armin Tajalli, Seyed Mojtaba Atarodi Structured design of an integrated subscriber line interface system and circuit. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Aseem Agarwal, David T. Blaauw, Vladimir Zolotov, Sarma B. K. Vrudhula Computation and Refinement of Statistical Bounds on Circuit Delay. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Hao Yu 0001, Lei He 0001 Vector potential equivalent circuit based on PEEC inversion. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Santanu Chattopadhyay Efficient Circuit Specific Pseudoexhaustive Testing with Cellular Automata. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF cellular automata, Test pattern generators, pseudoexhaustive testing
17Fadi A. Aloul, Igor L. Markov, Karem A. Sakallah Improving the Efficiency of Circuit-to-BDD Conversion by Gate and Input Ordering. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Kazuo Aoyama A reconfigurable logic circuit based on threshold elements with a controlled floating gate. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Seraphim Poriazis The two-phase twisted-ring counter circuit. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Mika Kontiala, Aarne Heinonen, Jari Nurmi Low-power methodology issues in digital circuit design. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Jeng-Jie Peng, Ming-Dou Ker, Hsin-Chin Jiang Latchup current self-stop circuit for whole-chip latchup prevention in bulk CMOS integrated circuits. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17R. Timothy Edwards Circuit Morphologies and Ontogenies. Search on Bibsonomy Evolvable Hardware The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Peter M. Lee, Shinji Ito, Takeaki Hashimoto, Junji Sato, Tomomasa Touma, Goichi Yokomizo A Parallel and Accelerated Circuit Simulator with Precise Accuracy. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Wendy Belluomini, Chris J. Myers, H. Peter Hofstee Timed circuit verification using TEL structures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Erik Lindberg, Krishnamurthy Murali, Arünas Tamasevicius Hyperchaotic circuit with damped harmonic oscillators. Search on Bibsonomy ISCAS (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Cong-Kha Pham A novel synapses circuit and its application to a neural-based A/D converter. Search on Bibsonomy ISCAS (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Jin-Ku Kang, Dong-Hee Kim A CMOS clock and data recovery with two-XOR phase-frequency detector circuit. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Kasin Vichienchom, Mark Clements, Wentai Liu A multi-gigabit CMOS data recovery circuit using an analog parallel sampling technique. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Alexander Fish, Orly Yadid-Pecht CMOS current/voltage mode winner-take-all circuit with spatial filtering. Search on Bibsonomy ISCAS (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Christian Pacha, Uwe Auer, Christian Burwick, Peter Glösekötter, Andreas Brennemann, Werner Prost, Franz-Josef Tegude, Karl F. Goser Threshold logic circuit design of parallel adders using resonant tunneling devices. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Takao Waho, Kazufumi Hattori, Kouji Honda Novel Resonant-Tunneling Multiple-Threshold Logic Circuit Based on Switching Sequence Detection. Search on Bibsonomy ISMVL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Multiple-threshold, analog-to-digital converter, Resonant-tunneling diode
17Gi-Noung Byun, Chol-U Lee, Seung-Yong Park, Heung-Soo Kim A Study on the Ternary Parallel Circuit Design with DCG Properties Based on the Matrix Equation. Search on Bibsonomy ISMVL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Madhu K. Iyer, Michael L. Bushnell Effect of Noise on Analog Circuit Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF analog test generation, noise analysis
17Patrick Girard 0001, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch Circuit Partitioning for Low Power BIST Design with Minimized Peak Power Consumption. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF BIST Design, Test, Low-power Design, Energy Consumption
17Chandramouli Visweswariah, Andrew R. Conn Formulation of static circuit optimization with reduced size, degeneracy and redundancy by timing graph manipulation. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Jing Shen, Koichi Tanno, Okihiko Ishizuka Down Literal Circuit with Neuron-MOS Transistors and Its Applications. Search on Bibsonomy ISMVL The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Yoshihiko Horio, Izumi Kobayashi, Masato Kawakami, Hiroshi Hayashi, Kazuyuki Aihara Switched-capacitor multi-internal-state chaotic neuron circuit with unipolar and bipolar output functions. Search on Bibsonomy ISCAS (5) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17L. Wu, Huiting Chen, S. Nagavarapu, Randall L. Geiger, Edward Lee, W. Black A monolithic 1.25 Gbits/sec CMOS clock/data recovery circuit for fibre channel transceiver. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Vishwani D. Agrawal, Michael L. Bushnell, Ganapathy Parthasarathy, Rajesh Ramadoss Digital Circuit Design for Minimum Transient Energy and a Linear Programming Method. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Irith Pomeranz, Sudhakar M. Reddy On methods to match a test pattern generator to a circuit-under-test. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Shih-Arn Hwang, Jin-Hua Hong, Cheng-Wen Wu Sequential circuit fault simulation using logic emulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Daniel R. Brasen, Gabriele Saucier Using cone structures for circuit partitioning into FPGA packages. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Consolación Gil, Julio Ortega 0001, Antonio F. Díaz, Maria Dolores Gil Montoya, Alberto Prieto Load Balancing in Parallel Circuit Testing with Annealing-Based and Genetic Algorithms. Search on Bibsonomy PPSN The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Huiqun Liu, Kai Zhu 0001, D. F. Wong 0001 Circuit Partitioning with Complex Resource Constraints in FPGAs. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Sameh W. Asaad, Kevin W. Warren Speed Optimization of the ALR Circuit Using an FPGA with Embedded RAM: A Design Experience. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Jason D. Lohn, Silvano Colombano Automated Analog Circuit Sythesis Using a Linear Representation. Search on Bibsonomy ICES The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Christer Svensson, Atila Alvandpour Low power and low voltage CMOS digital circuit techniques. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low power, CMOS, digital circuits, low voltage
17Hassan O. Elwan, Mohammed Ismail 0001 Low Voltage Low power CMOS AGC circuit for wireless communication. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Automatic gain control, Variable gain amplifier, dB-Linear
17J. Th. van der Linden, M. H. Konijnenburg, Ad J. van de Goor Circuit Partitioned Automatic Test Pattern Generation Constrained by Three-State Buses and Restrictors. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Andreas Jakoby, Christian Schindelhauer On the Complexity of Worst Case and Expected Time in a Circuit. Search on Bibsonomy STACS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF expected time, computational complexity, average case analysis, worst case, theory of parallel and distributed computation, timed circuits
17Uming Ko, Poras T. Balsara Short-circuit power driven gate sizing technique for reducing power dissipation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 37106 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license