The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for designs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1958 (39) 1959-1969 (19) 1970-1972 (28) 1973-1974 (31) 1975 (15) 1976 (29) 1977 (22) 1978 (25) 1979 (17) 1980 (29) 1981 (28) 1982 (29) 1983 (25) 1984 (36) 1985 (54) 1986 (68) 1987 (61) 1988 (93) 1989 (112) 1990 (132) 1991 (121) 1992 (130) 1993 (147) 1994 (176) 1995 (249) 1996 (247) 1997 (288) 1998 (357) 1999 (473) 2000 (522) 2001 (529) 2002 (678) 2003 (888) 2004 (1083) 2005 (1168) 2006 (1308) 2007 (1462) 2008 (1477) 2009 (957) 2010 (494) 2011 (326) 2012 (366) 2013 (398) 2014 (396) 2015 (355) 2016 (370) 2017 (420) 2018 (378) 2019 (427) 2020 (455) 2021 (466) 2022 (457) 2023 (468) 2024 (120)
Publication types (Num. hits)
article(7465) book(17) incollection(131) inproceedings(11238) phdthesis(193) proceedings(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 12478 occurrences of 5080 keywords

Results
Found 19048 publication records. Showing 19048 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
11Chien-Hsing Wu 0002, Chien-Ming Wu, Ming-Der Shieh, Yin-Tsung Hwang High-Speed, Low-Complexity Systolic Designs of Novel Iterative Division Algorithms in GF(2^m). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Stein's algorithm, Euclid's algorithm, Finite field, systolic array, division
11Erik Larsson, Klas Arvidsson, Hideo Fujiwara, Zebo Peng Efficient test solutions for core-based designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Rajesh Pendurkar, Abhijit Chatterjee, Yervant Zorian Distributed Diagnosis of Interconnections in SoC and MCM Designs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect test and diagnosis, performance fault diagnosis, design for testability for SOCs and MCMs, MISR reconfiguration
11Genyuan Wang, Huiyong Liao, Haiquan Wang, Xiang-Gen Xia 0001 Systematic and optimal cyclotomic lattices and diagonal space-time block code designs. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11T. M. Mak, Angela Krstic, Kwang-Ting (Tim) Cheng, Li-C. Wang New Challenges in Delay Testing of Nanometer, Multigigahertz Designs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Carla P. Gomes, Meinolf Sellmann, Cindy van Es, Harold van Es The Challenge of Generating Spatially Balanced Scientific Experiment Designs. Search on Bibsonomy CPAIOR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Xuandong Li, Jianhua Zhao, Gong Jiayu, Shi Yaoxin, Guoliang Zheng Verifying Compositional Designs for Scenario-Based Timing Specifications. Search on Bibsonomy ISORC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Andreas Wieferink, Malte Doerper, Tim Kogel, Rainer Leupers, Gerd Ascheid, Heinrich Meyr Early ISS Integration into Network-on-Chip Designs. Search on Bibsonomy SAMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Nicolas Telle, Wayne Luk, Ray C. C. Cheung Customising Hardware Designs for Elliptic Curve Cryptography. Search on Bibsonomy SAMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Tim Kogel, Malte Doerper, Torsten Kempf, Andreas Wieferink, Rainer Leupers, Gerd Ascheid, Heinrich Meyr Virtual Architecture Mapping: A SystemC Based Methodology for Architectural Exploration of System-on-Chip Designs. Search on Bibsonomy SAMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Earl E. Swartzlander Jr. A Review of Large Parallel Counter Designs. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Raul Silaghi Refining designs along middleware-specific concern-dimensions at different MDA-levels of abstraction. Search on Bibsonomy OOPSLA Companion The full citation details ... 2004 DBLP  DOI  BibTeX  RDF middleware concerns, AOP, MDA, model transformations, aspectJ, UML profiles, eclipse plug-ins, parallax, enterprise fondue
11Raul Silaghi Refining designs along middleware-specific concern-dimensions at different MDA-levels of abstraction. Search on Bibsonomy OOPSLA Companion The full citation details ... 2004 DBLP  DOI  BibTeX  RDF middleware concerns, AOP, MDA, model transformations, aspectJ, UML profiles, eclipse plug-ins, parallax, enterprise fondue
11Mehdi Baradaran Tahoori, Fabrizio Lombardi Testing of Quantum Dot Cellular Automata Based Designs. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Vicki L. Hanson The user experience: designs and adaptations. Search on Bibsonomy W4A The full citation details ... 2004 DBLP  DOI  BibTeX  RDF usability, standards, Web accessibility, Web design
11Boumediene Belkhouche, Anastasia Nix, Johnette Hassell Plagiarism detection in software designs. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11César Augusto Dueñas M. Verification and test challenges in SoC designs. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Peng Yin 0003, Andrew J. Turberfield, John H. Reif Designs of Autonomous Unidirectional Walking DNA Devices. Search on Bibsonomy DNA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Adriana J. Berlanga, Francisco J. García-Peñalvo Towards Adaptive Learning Designs. Search on Bibsonomy AH The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Altaf Abdul Gaffar, Oskar Mencer, Wayne Luk, Peter Y. K. Cheung Unifying Bit-Width Optimisation for Fixed-Point and Floating-Point Designs. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Beryl Plimmer, Mark D. Apperley INTERACTING with sketched interface designs: an evaluation study. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2004 DBLP  DOI  BibTeX  RDF prototyping, scenario-based design, sketch tools
11Iciar Font, Siep Weiland, Martijn Franken, Maarten Steinbuch, Loy Rovers Haptic feedback designs in teleoperation systems for minimal invasive surgery. Search on Bibsonomy SMC (3) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Jim Woodcock 0001, Ana Cavalcanti 0001 A Tutorial Introduction to Designs in Unifying Theories of Programming. Search on Bibsonomy IFM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Mandeep Bamal, Evelyn Grossar, Michele Stucchi, Karen Maex Interconnect width selection for deep submicron designs using the table lookup method. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect sizing, power-delay trade-off, wire sizing
11Yinghua Li, Rajeev Murgai, Takashi Miyoshi, Ashwini Verma XTalkDelay: A Crosstalk-Aware Timing Analysis Tool for Chip-Level Designs. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Earl E. Lee II, John E. Mitchell 0001, William A. Wallace Assessing Vulnerability of Proposed Designs for Interdependent Infrastructure Systems. Search on Bibsonomy HICSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Ju-Hyun Lee, Sungkwon Kang, Hoo-Kyun Choi A Fast Construction Algorithm for the Incidence Matrices of a Class of Symmetric Balanced Incomplete Block Designs. Search on Bibsonomy ICCSA (4) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Yu-Tsao Hsing, Chih-Wea Wang, Ching-Wei Wu, Chih-Tsun Huang, Cheng-Wen Wu Failure Factor Based Yield Enhancement for SRAM Designs. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Kui Huang, Zhaotao Zhou, Yanbo Han, Gang Li, Jing Wang 0002 An Algorithm for Calculating Process Similarity to Cluster Open-Source Process Designs. Search on Bibsonomy GCC Workshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Karthik Sundararaman, Shambhu J. Upadhyaya, Martin Margala Cost Model Analysis of DFT Based Fault Tolerant SOC Designs. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Tobias Nopper, Christoph Scholl 0001 Approximate Symbolic Model Checking for Incomplete Designs. Search on Bibsonomy FMCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Mehdi Baradaran Tahoori, Subhasish Mitra Interconnect Delay Testing of Designs on Programmable Logic Devices. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Grzegorz Mrugalski, Chen Wang 0014, Artur Pogiel, Jerzy Tyszer, Janusz Rajski Fault Diagnosis in Designs with Convolutional Compactors. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Manan Syal, Michael S. Hsiao, Sreejit Chakravarty Identifying Untestable Transition Faults in Latch Based Designs with Multiple Clocks. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Ghazanfar Asadi, Seyed Ghassem Miremadi, Hamid R. Zarandi, Ali Reza Ejlali Evaluation of Fault-Tolerant Designs Implemented on SRAM-Based FPGAs. Search on Bibsonomy PRDC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Carlo Brandolese, William Fornaciari, Fabio Salice An area estimation methodology for FPGA based designs at systemc-level. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF area metrics, FPGAs, systemc
11Faran Rafiq, Malgorzata Chrzanowska-Jeske, Hannah Honghua Yang, Marcin Jeske, Naveed A. Sherwani Integrated floorplanning with buffer/channel insertion for bus-based designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Karim El Guemhioui A framework for distributing object-oriented designs. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Distributed systems, Object-oriented design, Coupling metrics
11Yun Shao 0002, Sudhakar M. Reddy, Irith Pomeranz, Seiji Kajihara On Selecting Testable Paths in Scan Designs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF testable path, delay testing, delay fault, path delay fault, path selection
11Sudhakar M. Reddy, Kohei Miyase, Seiji Kajihara, Irith Pomeranz On test data volume reduction for multiple scan chain designs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Decompressor, Don't care identification, Encoding techniques, Design for testability, Test data compression
11Alexander Klaiber, Sinclair Chau Automatic Detection of Logic Bugs in Hardware Designs. Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Behzad Akbarpour, Sofiène Tahar The Application of Formal Verification to SPW Designs. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11K. R. Shesha Shayee, Joonseok Park, Pedro C. Diniz Performance and Area Modeling of Cmplete FPGA Designs in the Presence of Loop Transformations. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11William DuMouchel, Deepak K. Agarwal Applications of sampling and fractional factorial designs to model-free data squashing. Search on Bibsonomy KDD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF data squashing, fractional factorial design, summary of massive datasets, stratified sampling
11Xi Chen 0024, Harry Hsieh, Felice Balarin, Yosinori Watanabe Case Studies of Model Checking for Embedded System Designs. Search on Bibsonomy ACSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Michael J. Wirthlin, Darrel Eric Johnson, Nathan Rollins, Michael P. Caffrey, Paul S. Graham The Reliability of FPGA Circuit Designs in the Presence of Radiation Induced Configuration Upsets. Search on Bibsonomy FCCM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Thilo Pionteck, A. Garcya, Lukusa D. Kabulepa, Manfred Glesner The requirement for flexibility in IP-based designs increasesHardware Evaluation of Low Power Communication Mechanisms for Transport-Triggered Architectures. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Vikas Chandra, Gary D. Carpenter, Jeffrey L. Burns Dynamically Optimized Synchronous Communication for Low Power System on Chip Designs. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Jaume Abella 0001, Antonio González 0001 Power Efficient Data Cache Designs. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Mohammad M. Mansour, Amit Mehrotra Efficient core designs based on parameterized macrocells with accurate delay models. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Margarita Valor, Francisco Albert, José María Gomis, Manuel Contero Analysis Tool for Cataloguing Textile and Tile Pattern Designs. Search on Bibsonomy ICCSA (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Makram M. Mansour, Mohammad M. Mansour, Amit Mehrotra Parameterized Macrocells with Accurate Delay Models for Core-Based Designs. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Erik Larsson, Hideo Fujiwara Test Resource Partitioning and Optimization for SOC Designs. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Huaxing Tang, Sudhakar M. Reddy, Irith Pomeranz On Reducing Test Data Volume and Test Application Time for Multiple Scan Chain Designs. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Seongmoon Wang, Srimat T. Chakradhar A Scalable Scan-Path Test Point Insertion Technique to Enhance Delay Fault Coverage for Standard Scan Designs. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Miroslav N. Velev Collection of High-Level Microprocessor Bugs from Formal Verification of Pipelined and Superscalar Designs. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Xijiang Lin, Rob Thompson Test generation for designs with multiple clocks. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF clock domain, ATPG, scan design
11Barbara A. Kitchenham, John Fry, Stephen G. Linkman The Case Against Cross-Over Designs in Software Engineering. Search on Bibsonomy STEP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Jun Xu 0014, Mukesh Singhal Cost-Effective Flow Table Designs for High-Speed Routers: Architecture and Performance Evaluation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Flow table, performance analysis, router architecture, universal hashing
11Yeow Meng Chee, Alan C. H. Ling Uniform Group Divisible Designs with Block Sizes Three and n. Search on Bibsonomy Graphs Comb. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Shi-Zheng Eric Lin, Chieh Changfan, Yu-Chin Hsu, Fur-Shing Tsai Optimal time borrowing analysis and timing budgeting optimization for latch-based designs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF latch-based design, time borrowing, timing budgeting, static timing analysis, Cycle stealing
11Angela Krstic, Wei-Cheng Lai, Kwang-Ting Cheng, Li Chen, Sujit Dey Embedded Software-Based Self-Test for Programmable Core-Based Designs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Kanna Shimizu, David L. Dill Using Formal Specifications for Functional Validation of Hardware Designs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Luís Miguel Silveira, Nuno Vargas Characterizing Substrate Coupling in Deep-Submicron Designs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Tai-Ying Jiang, Chien-Nan Jimmy Liu, Jing-Yang Jou Effective Error Diagnosis for RTL Designs in HDLs. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Dylan Carline, Paul Coulton A Novel Watermarking Technique for LUT Based FPGA Designs. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Altaf Abdul Gaffar, Wayne Luk, Peter Y. K. Cheung, Nabeel Shirazi, James Hwang Automating Customisation of Floating-Point Designs. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Oswaldo Cadenas, Graham M. Megson A Clocking Technique with Power Savings in Virtex-Based Pipelined Designs. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Hongbing Fan, Jiping Liu, Yu-Liang Wu, Chak-Chung Cheung On Optimum Designs of Universal Switch Blocks. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Oliver Diessel, Usama Malik, Keith So Towards High-Level Specification, Synthesis, and Virtualization of Programmable Logic Designs (Research Note). Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Faran Rafiq, Malgorzata Chrzanowska-Jeske, Hannah Honghua Yang, Naveed A. Sherwani Integrated floorplanning with buffer/channel insertion for bus-based microprocessor designs. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF floorplanning, routability, interconnect estimation
11James Lin, Michael Thomsen, James A. Landay A visual language for sketching large and complex interactive designs. Search on Bibsonomy CHI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF denim, user interface design, visual language, web design
11Rajesh K. Gupta 0001, Sandeep K. Shukla, Nick Savoiu Efficient Simulation of Synthesis-Oriented System Level Designs. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF simulation, SystemC, system-level design
11David E. Lackey, Paul S. Zuchowski, Thomas R. Bednar, Douglas W. Stout, Scott W. Gould, John M. Cohn Managing power and performance for System-on-Chip designs using Voltage Islands. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Sasha Novakovsky, Shy Shyman, Ziyad Hanna High capacity and automatic functional extraction tool for industrial VLSI circuit designs. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Formal Equivalence Verification (FEV), Hardware Description Languages (HDL), Switch Level Analysis, functional abstraction, satisfiability procedures, synthesis, Design For Testability (DFT), logic simulation, Binary Decision Diagrams (BDDs)
11Kevin Lano, David Clark 0001, Kelly Androutsopoulos From Implicit Specifications to Explicit Designs in Reactive System Development. Search on Bibsonomy IFM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF B AMN, RSDS, model-checking, UML, Reactive Systems
11Natalino G. Busá, Ghiath Alkadi, Michael J. Verberne, Rafael Peset Llopis, Sethuraman Ramanatha RAPIDO: A Modular, Multi-Board, Heterogeneous Multi-Processor, PCI Bus Based Prototyping Framework for the Validation of SoC VLSI Designs. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Xiaojian Yang, Bo-Kyung Choi, Majid Sarrafzadeh A Standard-Cell Placement Tool for Designs with High Row Utilization. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Pallab K. Chatterjee, Richard Goering Evening Panel Discussion: Are the Interoperability Standards for EDA Too Little/Too Late for Real SoC Designs? Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Narayanan Krishnamurthy, Jayanta Bhadra, Magdy S. Abadir, Jacob A. Abraham Is State Mapping Essential for Equivalence Checking Custom Memories in Scan-Based Designs? Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Sudhakar M. Reddy, Kohei Miyase, Seiji Kajihara, Irith Pomeranz On Test Data Volume Reduction for Multiple Scan Chain Designs. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Amit R. Pandey, Janak H. Patel Reconfiguration Technique for Reducing Test Time and Test Data Volume in Illinois Scan Architecture Based Designs . Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Ilkka Saastamoinen, David A. Sigüenza-Tortosa, Jari Nurmi Interconnect IP Node for Future System-on-Chip Designs. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF System-on-Chip, reuse, on-chip communication, packet network
11Yannick Bonhomme, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch Test Power: a Big Issue in Large SOC Designs. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF DfT, BIST, Scan, Low Power Testing, Test Power
11Haris Lekatsas, Jörg Henkel ETAM++: Extended Transition Activity Measure for Low Power Address Bus Designs. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF bus invert, low power, bus encoding
11Yi-Min Jiang, Kwang-Ting Cheng Vector generation for power supply noise estimation and verification of deep submicron designs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Abdel Ejnioui, N. Ranganathan A partitioning algorithm for technoiogy-mapped designs on single-chip emulation systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Ramesh Karri, Balakrishnan Iyer Introspection: A register transfer level technique for cocurrent error detection and diagnosis in data dominated designs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Concurrent error detection, register transfer level, on line testing
11Serdar Tasiran, Kurt Keutzer Coverage Metrics for Functional Validation of Hardware Designs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Patrice Gerin, Sungjoo Yoo, Gabriela Nicolescu, Ahmed Amine Jerraya Scalable and flexible cosimulation of SoC designs with heterogeneous multi-processor target architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Michael L. Metz, Jack Jordan Verification of object-oriented simulation designs. Search on Bibsonomy WSC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Krissanapong Nandhasri, Jitkasem Ngarmnil Designs of analog and digital comparators with FGMOS. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Svetla Nikova, Ventzislav Nikov Improvement of the Delsarte Bound for tau-Designs in Finite Polynomial Metric Spaces. Search on Bibsonomy IMACC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Mihaela Radu, Dan Pitica, Radu Munteanu, Cristian Posteuca Complex Reliability Evaluation of Voters for Fault Tolerant Designs. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Debabrata Bagchi, Dipanwita Roy Chowdhury, Joy Mukherjee, Santanu Chattopadhyay A Novel Strategy to Test Core Based Designs. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11N. V. Arvind, P. R. Suresh, V. Sivakumar, Chandrani Pal, Debaprasad Das Integrated Crosstalk And Oxide Integrity Analysis In Dsm Designs. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Nagaraj Ns, Poras T. Balsara, Cyrus D. Cantrell Crosstalk Noise Verification in Digital Designs with Interconnect Process Variations. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Tong Liu 0007, Wei-Kang Huang, Fred J. Meyer, Fabrizio Lombardi Testing and testable designs for one-time programmable FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Chieh Changfan, Yu-Chin Hsu, Fur-Shing Tsai Timing optimization on routed designs with incremental placementand routing characterization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Irith Pomeranz, Sudhakar M. Reddy Test-Point Insertion to Enhance Test Compaction for Scan Designs. Search on Bibsonomy DSN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Scan design, test-point insertion, static test compaction
Displaying result #901 - #1000 of 19048 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license