The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for instruction with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1958-1963 (15) 1964-1967 (16) 1968 (15) 1969-1972 (22) 1973-1974 (38) 1975-1976 (32) 1977 (19) 1978 (20) 1979 (19) 1980 (21) 1981 (18) 1982 (45) 1983 (24) 1984 (35) 1985 (26) 1986 (38) 1987 (67) 1988 (92) 1989 (90) 1990 (104) 1991 (94) 1992 (99) 1993 (111) 1994 (136) 1995 (151) 1996 (247) 1997 (229) 1998 (225) 1999 (286) 2000 (344) 2001 (337) 2002 (403) 2003 (497) 2004 (547) 2005 (603) 2006 (715) 2007 (639) 2008 (722) 2009 (452) 2010 (273) 2011 (212) 2012 (228) 2013 (213) 2014 (214) 2015 (199) 2016 (210) 2017 (208) 2018 (222) 2019 (203) 2020 (236) 2021 (273) 2022 (286) 2023 (641) 2024 (231)
Publication types (Num. hits)
article(3426) book(12) incollection(148) inproceedings(7725) phdthesis(130) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(690) MICRO(269) ISCA(208) IEEE Trans. Computers(179) SIGCSE(165) DATE(159) DAC(133) ICCD(118) IEEE Trans. Very Large Scale I...(107) Innovative Techniques in Instr...(104) Comput. Educ.(99) HPCA(94) ASPLOS(88) ASAP(86) CASES(86) ICALT(81) More (+10 of total 2027)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 8210 occurrences of 3021 keywords

Results
Found 11442 publication records. Showing 11442 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Sue M. Gray, Rod Adams, G. J. Green, Gordon B. Steven Static instruction scheduling for the HARP multiple-instruction-issue architecture. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Liang Wang 0016 Instruction scheduling for a family of multiple instruction issue architectures. Search on Bibsonomy 1993   RDF
18David R. Kaeli, Philip G. Emma, Joshua W. Knight, Thomas R. Puzak Contrasting instruction-fetch time and instruction-decode time branch prediction mechanisms: Achieving synergy through their cooperative operation. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18Komei Kato, Takaya Arita, Masahiro Sowa Delayed instruction execution on a long instruction word (LIW) computer. Search on Bibsonomy Syst. Comput. Jpn. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18Chih-Po Wen Improving instruction supply efficiency in superscalar architectures using instruction trace buffers. Search on Bibsonomy SAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18Gideon D. Intrater, Ilan Y. Spillinger Performance Evaluation of a Decoded Instruction Cache for Variable Instruction-Length Computers. Search on Bibsonomy ISCA The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18Erik Dirkx CRISC Configurable Reduced Instruction Set Computer or Complex Reconfigurable Instruction Set Computer. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
18Thomas Tensi Worst case analysis for reducing algorithms on instruction systolic arrays with simple instruction sets. Search on Bibsonomy Parcella The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
18V. Lonnie Lawson Using a computer-assisted instruction program as an alternative to the traditional library orientation/instruction tour: An evaluative study. Search on Bibsonomy 1988   RDF
18Kevin J. McNeley, Veljko M. Milutinovic Emulating a Complex Instruction Set Computer with a Reduced Instruction Set Computer. Search on Bibsonomy IEEE Micro The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
18Andrew Tellop Two methods of instruction for an introductory computer programming course: a language oriented vs a non-language oriented method of instruction. Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
18Gregory F. Grohoski, Janak H. Patel A performance model for instruction prefetch in pipelined instruction units. Search on Bibsonomy ICPP The full citation details ... 1982 DBLP  BibTeX  RDF
18B. Ramakrishna Rau, George E. Rossman The Effect of Instruction Fetch Strategies upon the Performance of Pipelined Instruction Units. Search on Bibsonomy ISCA The full citation details ... 1977 DBLP  DOI  BibTeX  RDF
18Michail Maniatakos, Naghmeh Karimi, Chandra Tirumurti, Abhijit Jas, Yiorgos Makris Instruction-Level Impact Analysis of Low-Level Faults in a Modern Microprocessor Controller. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2011 DBLP  DOI  BibTeX  RDF instruction-level error, microprocessor controller, Fault simulation, concurrent error detection
18Hu Chen, Sheng Liu 0001, Shuming Chen A Novel Highly Scalable Architecture with Partially Distributed Pipeline and Hardware/Software Instruction Encoding. Search on Bibsonomy NAS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF distributed commitment, clustered architecture, distributed execution, instruction encoding
18Walter Yuan-Hwa Li, Chin-Ling Huang, Chung-Ping Chung Tolerating Load Miss-Latency by Extending Effective Instruction Window with Low Complexity. Search on Bibsonomy ICPP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Execute Ahead, Hardware Speculation, Instruction-Level Parallelism, Processor Architecture, Memory-Level Parallelism, Runahead Execution
18Tony M. Brewer Instruction Set Innovations for the Convey HC-1 Computer. Search on Bibsonomy IEEE Micro The full citation details ... 2010 DBLP  DOI  BibTeX  RDF hybrid-core computing, FPGAs, reconfigurable computing, heterogeneous computing, accelerators, coprocessors, instruction set design
18James Z. Wang 0002, Timothy A. Davis 0002, James Westall, Pradip K. Srimani Undergraduate database instruction with MeTube. Search on Bibsonomy ITiCSE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CPATH, MeTube, TEXNH, problem-based instruction, DBMS
18Jun Zhang, Kuizhi Mei, Jizhong Zhao An Adaptive and Selective Instruction Active Push Mechanism for Multi-core Architecture. Search on Bibsonomy NAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Chip Multi-core, Instruction Pre-fetch, Active Push, Adaptation, Memory System, Confidence Estimation
18Andrey Mokhov, Arseniy Alekseyev, Alexandre Yakovlev Automated Synthesis of Instruction Codes in the Context of Micro-architecture Design. Search on Bibsonomy ACSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF synthesis, microarchitecture, partial orders, instruction set, asynchronous control
18Luis C. Aparicio, Juan Segarra, Clemente Rodríguez, Víctor Viñals Combining Prefetch with Instruction Cache Locking in Multitasking Real-Time Systems. Search on Bibsonomy RTCSA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF prefetch, WCET, instruction cache
18Yu-Teng Chang, Chih-Yao Lo, Ping-Chang Chen Study on Adaptive Computer-Assisted Instruction for In-Service Training. Search on Bibsonomy AMT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF In-Service Training, Adaptive Computer-Assisted Instruction, e-Learning
18Sandro Bartolini, Irina Branovic, Roberto Giorgi, Enrico Martinelli Effects of Instruction-Set Extensions on an Embedded Processor: A Case Study on Elliptic Curve Cryptography over GF(2m). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Microprocessor/microcomputer applications, Performance Evaluation, Cryptography, Elliptic curves, Public key cryptosystems, Processor Architectures, Pipeline processors, Portable devices, Hardware/software interfaces, Instruction set design
18Feihu Hu, Liang Fu, Le Liu, Guanqun Zhang An Algorithm about Transforming PLC Ladder Diagram to Instruction List Based on Series-Parallel Merging Method. Search on Bibsonomy PACIIA (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ladder diagram, instruction list, PLC
18Jim Blythe, Thomas A. Russ Case-based reasoning for procedure learning by instruction. Search on Bibsonomy IUI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF learning by instruction, procedure learning, knowledge acquisition
18Joe Gebis, David A. Patterson 0001 Embracing and Extending 20th-Century Instruction Set Architectures. Search on Bibsonomy Computer The full citation details ... 2007 DBLP  DOI  BibTeX  RDF instruction set architectures, PowerPC, SIMD processors, vector architecture
18Jui-ni Sun, Yu-Chen Hsu A Study of Learners' Perceptions of the Interactivity of Web-Based Instruction. Search on Bibsonomy HCI (4) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Interactivity, satisfaction, usefulness, ease of use, Web-based instruction
18Daiji Kobayashi, Hiroaki Murata, Sakae Yamamoto Study on the Instruction Method for Plant Operator. Search on Bibsonomy HCI (13) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF thinking process, operator, instruction, plant
18Youtao Zhang, Jun Yang 0002 Low cost instruction cache designs for tag comparison elimination. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low-power instruction cache, tag comparison elimination
18Dmitry Ponomarev 0001, Gurhan Kucuk, Kanad Ghose Reducing power requirements of instruction scheduling through dynamic allocation of multiple datapath resources. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF energy-efficient datapath, superscalar processor, power reduction, dynamic instruction scheduling
18Gurhan Kucuk, Kanad Ghose, Dmitry Ponomarev 0001, Peter M. Kogge Energy: efficient instruction dispatch buffer design for superscalar processors. Search on Bibsonomy ISLPED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF bitline segmentation, low power comparator, low power instruction scheduling, low-power superscalar datapath
18Marie Iding, Martha E. Crosby, Thomas Speitel, Thanh Trúc T. Nguyên, Tyra Shimabuku Cooperative and Collaborative Learning in Computer-based Science Instruction. Search on Bibsonomy HICSS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Computer-Based Science Instruction, Collaborative Learning, Cooperative Learning
18Shay Ping Seng, Wayne Luk, Peter Y. K. Cheung Flexible instruction processors. Search on Bibsonomy CASES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF instruction processors, high-level synthesis, ASIP
18Marian Kuras, Mariusz Grabowski, Agnieszka Zajac Changing IS curriculum and methods of instruction. Search on Bibsonomy ITiCSE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF IT/IS instruction, active teaching, project-based learning
18Lea Hwang Lee, Bill Moyer, John Arends Instruction fetch energy reduction using loop caches for embedded applications with small tight loops. Search on Bibsonomy ISLPED The full citation details ... 1999 DBLP  DOI  BibTeX  RDF instruction buffering, small program loops, embedded systems, low power, low cost
18Joseph A. Fisher Customized Instruction-Sets for Embedded Processors. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF custom processors, mass customization of toolchains, instruction-level parallelism, VLIW, embedded processors
18Josep Torrellas, Chun Xia, Russell L. Daigle Optimizing the Instruction Cache Performance of the Operating System. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1998 DBLP  DOI  BibTeX  RDF code layout optimization, instruction caches, Cache miss rates
18P. Bosch, A. Carloganu, Daniel Etiemble Complete x86 instruction trace generation from hardware bus collect. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF complete x86 instruction trace generation, hardware bus collect, architectural improvements, benchmark traces, hardware/software approach, x86 execution traces, commercial analyzer, computer architecture, microprocessors, memory hierarchies, trace driven simulation, performance data
18Meng-chou Chang, Feipei Lai Efficient Exploitation of Instruction-Level Parallelism for Superscalar Processors by the Conjugate Register File Scheme. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multilevel boosting, shadow register file, conjugate register file, scheduling-conflict graph, Instruction-level parallelism, speculative execution, superscalar processors
18Chung-Chi Jim Li, Shyh-Kwei Chen, W. Kent Fuchs, Wen-mei W. Hwu Compiler-Based Multiple Instruction Retry. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF compilers, fault-tolerant computing, rollback recovery, instruction retry
18Neal J. Alewine, Shyh-Kwei Chen, W. Kent Fuchs, Wen-mei W. Hwu Compiler-Assisted Multiple Instruction Rollback Recovery Using a Read Buffer. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Fault-tolerance, compilers, error recovery, instruction retry
18F. Kanehara, S. Satoh, T. Hamada A flexible image retrieval using explicit visual instruction. Search on Bibsonomy ICDAR The full citation details ... 1995 DBLP  DOI  BibTeX  RDF flexible image retrieval, explicit visual instruction, flexible image content retrieval system, silhouette images, drawing sketch, sketch user interface, local/global shape features, information retrieval, feature extraction, query processing, human factors, image recognition, signatures, visual databases, shape similarity, image decomposition, image data, primitives
18Michael A. Schuette, John Paul Shen Exploiting Instruction-Level Parallelism for Integrated Control-Flow Monitoring. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF control-flow monitoring, machine parallelism, idle resources, Multiflow TRACE 14/300 processor, TRACE 14/300, available resource-driven control-flow monitoring, parallel architectures, fault tolerant computing, error detection, instruction-level parallelism, concurrent error detection
18Mark Smotherman, Shuchi Chawla 0002, Stan Cox, Brian A. Malloy Instruction scheduling for the Motorola 88110. Search on Bibsonomy MICRO The full citation details ... 1993 DBLP  DOI  BibTeX  RDF MC88110, cache alignment, instruction scheduling, superscalar processors
18Ing-Jer Huang, Alvin M. Despain An extended classification of inter-instruction dependency and its application in automatic synthesis of pipelined processors. Search on Bibsonomy MICRO The full citation details ... 1993 DBLP  DOI  BibTeX  RDF compiler back-end generation, hardware/software tradeoffs, inter-instruction dependency, pipeline hazard resolution, high level synthesis
18Wen-mei W. Hwu, Pohua P. Chang Efficient Instruction Sequencing with Inline Target Insertion. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF instruction sequencing, inline target insertion, delayed branches, squashing, branch slots, program counter, parallel programming, compiler, pipeline, interrupts, program compilers, pipeline processing, exceptions
18Vicki H. Allan, Bogong Su, Pantung Wijaya, Jian Wang 0046 Foresighted Instruction Scheduling Under Timing Constraints. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF foresighted instruction scheduling, minimum timing information, foresighted compaction, data dependency graph arcs, data dependency information, maximum timing information, greedy compaction algorithms, scheduling, parallel algorithms, parallel programming, graph theory, timing constraints, programming theory, list scheduling, look ahead
18David B. Whalley Fast Instruction Cache Performance Evaluation Using Compile-Time Analysis. Search on Bibsonomy SIGMETRICS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF instruction cache, trace analysis, cache simulation, trace generation
18Norman P. Jouppi The Nonuniform Distribution of Instruction-Level and Machine Parallelism and Its Effect on Performance. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF machine performance, first-order estimate, machine parallelism, instruction-level, machine pipelines, MultiTitan, superscalar machine, performance evaluation, parallel architectures, pipeline processing, CRAY-1
18Michael A. Schuette, John Paul Shen Processor Control Flow Monitoring Using Signatured Instruction Streams. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1987 DBLP  DOI  BibTeX  RDF transient and intermittent faults, Control flow monitoring, error detection coverage and latency, fault insertion experiments, roving monitoring, signatured instruction streams, signature analysis
18Siamak Arya An Optimal Instruction-Scheduling Model for a Class of Vector Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1985 DBLP  DOI  BibTeX  RDF instruction loop, scheduling, Computer architecture, integer programming, vector processing
18Alexandru Nicolau, Joseph A. Fisher Measuring the Parallelism Available for Very Long Instruction Word Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF VLIW (very long instruction word) architectures, Memory antialiasing, parallelism, multiprocessors, microcode, trace scheduling
18Martin De Prycker Representing the Effect of Instruction Prefetch in a Microprocessor Performance Model. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF Best/worst case, instruction prefetch pipeline, memory speed, performance analysis, clock cycles
18Peng Chen 0012, Krishna M. Kavi, Robert Akl Performance Enhancement by Eliminating Redundant Function Execution. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Function reuse, Basic Block Reuse, SimpleScalar, Instruction Level Parallelism, Speculative Execution, Value Prediction, Instruction Reuse
18Mats Brorsson, Mikael Collin Adaptive and flexible dictionary code compression for embedded applications. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dictionary code compression, fetch path energy, instruction memory bandwidth, instruction profiling, processor architecture
18Xia Brustoloni, José Carlos Brustoloni Hardening Web browsers against man-in-the-middle and eavesdropping attacks. Search on Bibsonomy WWW The full citation details ... 2005 DBLP  DOI  BibTeX  RDF eavesdropping attack, just-in-time instruction, safe staging, well-in-advance instruction, certificate, HTTPS, password, Web browser, SSL, man-in-the-middle attack
18V. Parthasarathy, S. Aram valartha Bharathi, V. Rhymend Uthariaraj Performance Analysis of Embedded Media Applications in Newer ARM Architectures. Search on Bibsonomy ICPP Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF ARM v6, DSP Extensions, Instruction set architecture (ISA), Single Instruction Multiple Data (SIMD)
18Sandra Katz, John M. Aronis, David Allbritton, Christine Wilson, Mary Lou Soffa A study to identify predictors of achievement in an introductory computer science course. Search on Bibsonomy CPR The full citation details ... 2003 DBLP  DOI  BibTeX  RDF computer science instruction, gender and computer science, programming instruction
18Michael L. Chu, Kevin Fan, Scott A. Mahlke Region-based hierarchical operation partitioning for multicluster processors. Search on Bibsonomy PLDI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multicluster processor, operation partitioning, clustering, instruction-level parallelism, instruction scheduling, region-based compilation
18Madhavi Gopal Valluri, Lizy Kurian John, Heather Hanson Exploiting compiler-generated schedules for energy savings in high-performance processors. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF dynamic issue processors, very long instruction word architectures, instruction-level parallelism, low energy
18C. V. Ramakrishnan, S. Ramesh Kumar Comparative Performance of Frontal (Direct) and PCG (Iterative) Solver Based Parallel Computations of Finite Element Analysis. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Finite Element Analysis (FEA), Symmetric Multi-processor (SMP), Preconditioned Conjugate Gradient (PCG), degrees of freedom (dof), maximum bandwidth (mbwd), maximum frontwidth (mfwd), Number of processors (Numprocs), iterations (iter), Message Passing Interface (MPI), Single Instruction Multiple Data (SIMD), Multiple Instruction Multiple Data (MIMD)
18Thomas M. Conte, Sumedh W. Sathaye Properties of Rescheduling Size Invariance for Dynamic Rescheduling-Based VLIW Cross-Generation Compatibility. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF instruction-set encoding, list encoding, VLIW, Microarchitecture, processor architecture, instruction cache
18Jiannong Cao 0001, Y. Liu, Li Xie 0001, Kang Zhang 0001 Portable Runtime Support for Graph-oriented Parallel and Distributed Programming. Search on Bibsonomy ISPAN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF dynamic speculation of data dependence, instruction reissue, register update unit, Instruction level parallelism, out-of-order execution
18Carlos Molina, Antonio González 0001, Jordi Tubella Dynamic removal of redundant computations. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1999 DBLP  DOI  BibTeX  RDF data-value reuse, instruction-level reuse, instruction-level parallelism
18Sanjeev Banerjia, Sumedh W. Sathaye, Kishore N. Menezes, Thomas M. Conte MPS: Miss-Path Scheduling for Multiple-Issue Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Multiple instruction issue, miss path scheduling, schedule cache, instruction level parallelism
18Chao-ying Fu, Matthew D. Jennings, Sergei Y. Larin, Thomas M. Conte Value Speculation Scheduling for High Performance Processors. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF VLIW instruction schedulings, instruction level parallelism, value prediction, value speculation
18Ramaswamy Govindarajan, Erik R. Altman, Guang R. Gao A Framework for Resource-Constrained Rate-Optimal Software Pipelining. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF superscalar and VLIW architectures, Instruction-level parallelism, integer linear programming, software pipelining, instruction scheduling
18Bülent Abali, Craig B. Stunkel Time synchronization on SP1 and SP2 parallel systems. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF SP2 parallel system, SP1 parallel system, experimental time utility, operating system clocks, node clocks, synchronous feature, parallel program performance measurement, parallel program tuning, parallel program tracing, parallel program debugging, parallel processes, interconnection network, multiprocessor interconnection networks, multiprocessor interconnection networks, parallel machines, parallel machines, synchronisation, synchronisation, processor scheduling, processor scheduling, software performance evaluation, software performance evaluation, program debugging, program debugging, clocks, clocks, operating systems (computers), operating systems (computers), time synchronization, gang scheduling, reduced instruction set computing, reduced instruction set computing
18Sheila A. Brandt, Robert O. Briggs Exploring the use of EMS in the classroom: two field studies. Search on Bibsonomy HICSS (4) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF reduced evaluation apprehension, classroom settings, student participation, human factors, teleconferencing, cooperative learning, learning theory, classroom, computer aided instruction, computer aided instruction, social aspects of automation, cooperative problem-solving, electronic meeting systems
18Dan I. Moldovan, Wing Lee, Changhwa Lin Parallel Knowledge Processing in SNAP. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF semantic network array processor, highly parallel architecture, marker propagation architecture, reasoning mechanisms, simulator, classification, knowledge representation, parallel architectures, recognition, inheritance, reasoning, digital simulation, semantic networks, instruction set, instruction sets, Connection Machine, markers
18Pradeep K. Dubey, Michael J. Flynn Branch Strategies: Modeling and Optimization. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF branch-delay penalty, instruction bandwidth, i-traffic, wasted instruction fetches, active branch prediction, loop buffers, parallel programming, compilers, program compilers, pipeline processing, pipelined processors, branch-target-buffer
18Augustus K. Uht A Theory of Reduced and Minimal Procedural Dependencies. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF reduced procedural dependencies, minimal procedural dependencies, reduced set, reduced data dependencies, minimal semantic dependencies, concurrency control, codes, instruction sets, reduced instruction set computing
18Veljko M. Milutinovic, David A. Fura, Walter A. Helbig Pipeline Design Tradeoffs in a 32-bit Gallium Arsenide Microprocessor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF instruction pipeline design, single-chip GaAs microprocessor, application-related parameters, pipelined memory pipeline, III-V semiconductors, performance evaluation, microprocessor chips, instruction sets, 32 bit, GaAs, gallium arsenide
18Daniel C. McCrackin, Barna Szabados Using Horizontal Prefetching to Circumvent the Jump Problem. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF horizontal prefetching, jump problem, independent instruction streams, memory utilization, prototype machine, performance, design, computer architecture, interprocessor communication, instruction sets, context switching, process synchronization, processor utilization, processor performance
18A. P. Wim Böhm, John R. Gurd Iterative Instructions in the Manchester Dataflow Computer. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Manchester Dataflow Computer, iterative instructions, program execution times, function unit array, hardware speedup curves, fine-grain instructions, parallel programming, parallel architectures, iterative methods, parallel machines, tokens, instruction sets, instruction sets, hardware configuration
18John L. Hennessy VLSI Processor Architecture. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF instruction issue, processor implementation, VLSI, pipelining, microprocessors, processor architecture, Computer organization, memory mapping, instruction set design
18Edsger W. Dijkstra Letters to the editor: go to statement considered harmful. Search on Bibsonomy Commun. ACM The full citation details ... 1968 DBLP  DOI  BibTeX  RDF alternative clause, conditional clause, go to statement, jump instruction, program intelligibility, program sequencing, repetitive clause, branch instruction
18John R. Rice, Edsger W. Dijkstra Letters to the editor: The go to statement reconsidered. Search on Bibsonomy Commun. ACM The full citation details ... 1968 DBLP  DOI  BibTeX  RDF algorithm progress, go to, jump instruction, program intelligibility, program sequencing, algorithm analysis, branch instruction
17Hui Wang, Rama Sangireddy, Sandeep Baldawa Optimizing Instruction Scheduling through Combined In-Order and O-O-O Execution in SMT Processors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Min Li 0001, David Novo, Bruno Bougard, Trevor E. Carlson, Liesbet Van der Perre, Francky Catthoor Generic Multiphase Software Pipelined Partial FFT on Instruction Level Parallel Architectures. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Wallace H. Wulfeck Adapting Instruction. Search on Bibsonomy HCI (16) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Yusuke Hayashi, Seiji Isotani, Jacqueline Bourdeau, Riichiro Mizoguchi Toward a Learning/Instruction Process Model for Facilitating the Instructional Design Cycle. Search on Bibsonomy WCCE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF collaborative learning, Ontological engineering, instructional design
17Farhad Mehdipour, Hamid Noori, Bahman Javadi, Hiroaki Honda, Koji Inoue, Kazuaki J. Murakami A combined analytical and simulation-based model for performance evaluation of a reconfigurable instruction set processor. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Mengping Tsuei The G-Math Peer-Tutoring System for Supporting Effectively Remedial Instruction for Elementary Students. Search on Bibsonomy ICALT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Shi-Jer Lou, Yi-Zhen Zhu, Kuo-Hung Tseng, Yuan-Chang Guo, Ru-Chu Shih A Study of Computer-Assisted Instruction on Music Appreciation: An Example of Chinese Musical Instruments. Search on Bibsonomy ICALT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Seung Eun Lee, Chris Wilkerson, Ming Zhang, Rajendra S. Yavatkar, Shih-Lien Lu, Nader Bagherzadeh Low power adaptive pipeline based on instruction isolation. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Roger Mailler, Daniel Bryce, Jiaying Shen, Ciaran O'Reilly MABLE: a framework for learning from natural instruction. Search on Bibsonomy AAMAS (1) The full citation details ... 2009 DBLP  BibTeX  RDF MABLE, learning, architecture
17Junzo Kamahara, Takashi Nagamatsu, Yuki Fukuhara, Yohei Kaieda, Yutaka Ishii Method for Identifying Task Hardships by Analyzing Operational Logs of Instruction Videos. Search on Bibsonomy SAMT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF User Behavior, Multimedia Authoring
17Sami Khawam, Ioannis Nousias, Mark Milward, Ying Yi, Mark Muir, Tughrul Arslan The Reconfigurable Instruction Cell Array. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Sebastian de la Chica, Faisal Ahmad, Tamara Sumner, James H. Martin, Kirsten R. Butcher Computational foundations for personalizing instruction with digital libraries. Search on Bibsonomy Int. J. Digit. Libr. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Personalization tools, Natural language processing, Educational digital library
17Tarek M. Taha, D. Scott Wills An Instruction Throughput Model of Superscalar Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Modeling techniques, Pipeline processors, Modeling of computer architecture
17Tor M. Aamodt, Paul Chow Compile-time and instruction-set methods for improving floating- to fixed-point conversion accuracy. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF fractional multiplication, Compilation, digital signal processing, scaling, fixed-point, signal-to-noise ratio
17Clément Ballabriga, Hugues Cassé Improving the First-Miss Computation in Set-Associative Instruction Caches. Search on Bibsonomy ECRTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Lars Bauer, Muhammad Shafique 0001, Stephanie Kreutz, Jörg Henkel Run-time System for an Extensible Embedded Processor with Dynamic Instruction Set. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Björn Franke Fast cycle-approximate instruction set simulation. Search on Bibsonomy SCOPES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Joseph E. Hollingsworth Teaching query writing: an informed instruction approach. Search on Bibsonomy ITiCSE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF database, course pedagogy
17David B. Whalley, Gary S. Tyson Enhancing the effectiveness of utilizing an instruction register file. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Xianzhi Tian Influences of CAI English Teaching Pattern on the Autonomous Learning -Research on Divided Class Instruction of Independent College. Search on Bibsonomy WKDD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Carlo Galuzzi, Koen Bertels A Framework for the Automatic Generation of Instruction-Set Extensions for Reconfigurable Architectures. Search on Bibsonomy ARC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Luis C. Aparicio, Juan Segarra, Clemente Rodríguez, J. L. Villarroel, Víctor Viñals Avoiding the WCET Overestimation on LRU Instruction Cache. Search on Bibsonomy RTCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 11442 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license