The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for processor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1955-1963 (19) 1964-1966 (16) 1967-1968 (38) 1969 (17) 1970 (17) 1971 (18) 1972 (20) 1973 (28) 1974 (54) 1975 (27) 1976 (90) 1977 (64) 1978 (70) 1979 (48) 1980 (74) 1981 (77) 1982 (126) 1983 (110) 1984 (108) 1985 (137) 1986 (192) 1987 (214) 1988 (274) 1989 (290) 1990 (372) 1991 (311) 1992 (325) 1993 (348) 1994 (382) 1995 (541) 1996 (509) 1997 (560) 1998 (454) 1999 (672) 2000 (756) 2001 (718) 2002 (952) 2003 (1177) 2004 (1365) 2005 (1596) 2006 (1707) 2007 (1708) 2008 (1614) 2009 (1181) 2010 (660) 2011 (554) 2012 (494) 2013 (501) 2014 (427) 2015 (456) 2016 (470) 2017 (416) 2018 (418) 2019 (460) 2020 (399) 2021 (428) 2022 (386) 2023 (419) 2024 (74)
Publication types (Num. hits)
article(7025) book(16) data(1) incollection(53) inproceedings(18549) phdthesis(278) proceedings(16)
Venues (Conferences, Journals, ...)
IPDPS(464) IEEE Trans. Computers(447) DATE(392) CoRR(368) ISCAS(348) ISCA(344) DAC(331) IEEE Trans. Parallel Distribut...(324) ICASSP(295) IEEE J. Solid State Circuits(284) MICRO(270) ICCD(252) FPL(249) IEEE Trans. Very Large Scale I...(248) IEEE Micro(233) ASAP(228) More (+10 of total 2714)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 20075 occurrences of 5412 keywords

Results
Found 25938 publication records. Showing 25938 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Daniel C. McCrackin, Barna Szabados Using Horizontal Prefetching to Circumvent the Jump Problem. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF horizontal prefetching, jump problem, independent instruction streams, memory utilization, prototype machine, performance, design, computer architecture, interprocessor communication, instruction sets, context switching, process synchronization, processor utilization, processor performance
16Paul D. Stachour, Bhavani Thuraisingham Design of LDV: A Multilevel Secure Relational Database Management System. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF classification level, polyinstantiation, type enforcement, multilevel secure relational database management system, secure database system, LDV, Lock Data Views, LOgical Coprocessing Kernel, assured pipelines, query processor, update processor, relational databases, operating system, aggregation, security policy, inference, security of data, LOCK, metadata management, Trusted Computing Base
16Ashok K. Agrawala, Edward G. Coffman Jr., M. R. Garey, Satish K. Tripathi A Stochastic Optimization Algorithm Minimizing Expected Flow Times on Uniform Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF uniform processor systems, Consider a set of processors P1, ..., Pm differing only in speed and a set of jobs with exponentially distributed execution times, The rate parameter for the ith processor is given by ?i, 1 =i = m, where we assume the processors are ordered so that ?1 = ?2 = ... = ?m. The problem is to sequence the jobs nonpreemptively so as to minimize expected total flow time (sum of finishing times). We defin, Mean flow time minimization, stochastic optimization, stochastic scheduling, routing problems
16Kuang-Hua Huang, Jacob A. Abraham Algorithm-Based Fault Tolerance for Matrix Operations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF checksum matrix, error detection, systolic arrays, error correction, processor arrays, Algorithm-based fault tolerance, transient errors, multiple processor systems, matrix operations
16Dennis Parkinson, Heather M. Liddell The Measurement of Performance on a Highly Parallel System. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF distributed array processor, parallel algorithms, parallel computation, performance measurement, multiple processor systems, Associative processors, SIMD computers
16David C. van Voorhis, Thomas H. Morrin Memory Systems for Image Processing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF array storage, image processing, memory system, Array processor, parallel processor, parallel memory
15Huandong Wang, Xiang Gao, Yunji Chen, Dan Tang, Weiwu Hu A multi-FPGA based platform for emulating a 100m-transistor-scale processor with high-speed peripherals (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF loongson, multi-fpga, fpga, evaluation, verification, emulation
15Flavius Gruian, Mark Westmijze Investigating hardware micro-instruction folding in a Java embedded processor. Search on Bibsonomy JTRES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF BlueJEP, bytecode folding, embedded systems, Java processors, Bluespec
15Omid Azizi, Aqeel Mahesri, Benjamin C. Lee, Sanjay J. Patel, Mark Horowitz Energy-performance tradeoffs in processor architecture and circuit design: a marginal cost analysis. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF co-optimization, design trade-offs, optimization, energy efficiency, design space exploration, microarchitecture
15Andrew B. Kahng, Seokhyeong Kang, Rakesh Kumar 0002, John Sartori Recovery-driven design: a power minimization methodology for error-tolerant processor modules. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF recovery-driven design, power minimization
15Sascha Uhrig Evaluation of Different Multithreaded and Multicore Processor Configurations for SoPC. Search on Bibsonomy SAMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Dina Thomas, Rajesh Bordawekar, Charu C. Aggarwal, Philip S. Yu On Efficient Query Processing of Stream Counts on the Cell Processor. Search on Bibsonomy ICDE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Perry H. Wang, Jamison D. Collins, Christopher T. Weaver, Belliappa Kuttanna, Shahram Salamian, Gautham N. Chinya, Ethan Schuchman, Oliver Schilling, Thorsten Doil, Sebastian Steibl, Hong Wang 0003 Intel® atomTM processor core made FPGA-synthesizable. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF intel atom, synthesizable core, fpga, emulator
15Akiyoshi Wakatani Implementation of Recurrence Calculation on a Heterogeneous Multicore Processor. Search on Bibsonomy ACIS-ICIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Balaram Sinharoy POWER7 multi-core processor design. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Lide Duan, Bin Li 0008, Lu Peng 0001 Versatile prediction and fast estimation of Architectural Vulnerability Factor from processor performance metrics. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Dimitris Syrivelis, Spyros Lalis Extracting Coarse-Grained Pipelined Parallelism Out of Sequential Applications for Parallel Processor Arrays. Search on Bibsonomy ARCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Darshan Desai, Gerolf Hoflehner, Arun Kejariwal, Daniel M. Lavery, Alexandru Nicolau, Alexander V. Veidenbaum, Cameron McNairy Performance Characterization of Itanium® 2-Based Montecito Processor. Search on Bibsonomy SPEC Benchmark Workshop The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Kiyeon Lee, Shayne Evans, Sangyeun Cho Accurately approximating superscalar processor performance from traces. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Ge Gan, Xu Wang, Joseph B. Manzano, Guang R. Gao Tile Percolation: An OpenMP Tile Aware Parallelization Technique for the Cyclops-64 Multicore Processor. Search on Bibsonomy Euro-Par The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Yoshihiro Ichinomiya, Shiro Tanoue, Tomoyuki Ishida, Motoki Amagasaki, Morihiro Kuga, Toshinori Sueyoshi Memory Sharing Approach for TMR Softcore Processor. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Daniel Ménard, Emmanuel Casseau, Shafqat Khan, Olivier Sentieys, Stéphane Chevobbe, Stéphane Guyetant, Raphaël David Reconfigurable Operator Based Multimedia Embedded Processor. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Martin Sandrieser, Sabri Pllana, Siegfried Benkner Evaluation of the SUN UltraSparc T2+ Processor for Computational Science. Search on Bibsonomy ICCS (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Sun UltraSparc T2+, Niagara2, Evaluation, Computational Science
15Praveen Kumar, Kannappan Palaniappan, Ankush Mittal, Guna Seetharaman Parallel Blob Extraction Using the Multi-core Cell Processor. Search on Bibsonomy ACIVS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Qi Wu 0006, Jian-Qiang Lu, Kenneth Rose, Tong Zhang 0002 Efficient implementation of decoupling capacitors in 3D processor-dram integrated computing systems. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF three-dimentional integration, dram, decoupling capacitor
15Sang-Kyo Han, SeongHoon Woo, Mun-Ho Jeong, Bum-Jae You Improved-Quality Real-Time Stereo Vision Processor. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Jörg Mische, Sascha Uhrig, Florian Kluge, Theo Ungerer IPC Control for Multiple Real-Time Threads on an In-Order SMT Processor. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Tomas Tuma, Sean Rooney, Paul Hurley On the Applicability of Compressive Sampling in Fine Grained Processor Performance Monitoring. Search on Bibsonomy ICECCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Sergio Ilarri, Ouri Wolfson, Eduardo Mena, Arantza Illarramendi, A. Prasad Sistla A query processor for prediction-based monitoring of data streams. Search on Bibsonomy EDBT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Hong Lu, A. Forin Automatic Processor Customization for Zero-Overhead Online Software Verification. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Jakub Kurzak, Alfredo Buttari, Jack J. Dongarra Solving Systems of Linear Equations on the CELL Processor Using Cholesky Factorization. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Shuenn-Shyang Wang, Chien-Sung Li An Area-Efficient Design of Variable-Length Fast Fourier Transform Processor. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF variable length FFT, substructure sharing, Fast Fourier Transform, OFDM
15Ramtilak Vemu, Abhijit Jas, Jacob A. Abraham, Srinivas Patil, Rajesh Galivanche A low-cost concurrent error detection technique for processor control logic. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Jie Zhou 0007, Yong Dou, Yuanwu Lei, Jinbo Xu, Yazhuo Dong Double Precision Hybrid-Mode Floating-Point FPGA CORDIC Co-processor. Search on Bibsonomy HPCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Young-Su Kwon, Bontae Koo, Nak-Woong Eum Application-adaptive reconfiguration of memory address shuffler for FPGA-embedded instruction-set processor. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15José Luis Núñez-Yáñez, Eddie Hung, Vassilios A. Chouliaras A configurable and programmable motion estimation processor for the H.264 video codec. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Yijun Liu, Banghai Wang, Guobo Xie, Pinghua Chen, Zhenkun Li Designing a Multi-Processor Education Board for High-Performance Embedded Processing. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Jianjun Guo, Kui Dai, Ming-che Lai, Zhiying Wang 0003 The P2P Communication Model for a Local Memory based Multi-core Processor. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Yonggang Che Evaluating the Data Access Efficiency of Imagine Stream Processor with Scientific Applications. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Wanessa Pereira Dias, Emilia Colonese Performance Analysis of Cache and Scratchpad Memory in an Embedded High Performance Processor. Search on Bibsonomy ITNG The full citation details ... 2008 DBLP  DOI  BibTeX  RDF performance, cache, memory
15Farshad Khunjush, Nikitas J. Dimopoulos Extended characterization of DMA transfers on the Cell BE processor. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Jie Zhou 0007, Yong Dou, Yuanwu Lei, Yazhuo Dong Hybrid-Mode Floating-Point FPGA CORDIC Co-processor. Search on Bibsonomy ARC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Duoduo Liao, Simon Y. Berkovich A conceptual system for parallel solid voxelization using multi-processor pipelining. Search on Bibsonomy VRCAI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Hong Wang 0006, Hiroyuki Takizawa, Hiroaki Kobayashi A Performance Study of Secure Data Mining on the Cell Processor. Search on Bibsonomy CCGRID The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Security, Performance Evaluation, Data Clustering, Volunteer Computing
15Sibin Mohan, Frank Mueller 0001 Hybrid Timing Analysis of Modern Processor Pipelines via Hardware/Software Interactions. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2008 DBLP  DOI  BibTeX  RDF hybrid timing anlalysis, hardware/software interactions, real-time systems, embedded systems, computer architecture, timing analysis, worst-case execution time, out-of-order execution
15Nobuaki Kobayashi, Tadayoshi Enomoto A low power 90-nm CMOS motion estimation processor implementing dynamic voltage and frequency scaling (DVFS) and fast motion estimation algorithm. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Gang Chen, Guoqiang Bai 0001, Hongyi Chen A dual-field elliptic curve cryptographic processor based on a systolic arithmetic unit. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Yuichiro Murachi, Kosuke Mizuno, Junichi Miyakoshi, Masaki Hamamoto, Takahiro Iinuma, Tomokazu Ishihara, Fang Yin, Jangchung Lee, Tetsuya Kamino, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto A sub 100 mW H.264/AVC MP@L4.1 integer-pel motion estimation processor VLSI for MBAFF encoding. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Arnaldo Azevedo, Cor Meenderinck, Ben H. H. Juurlink, Mauricio Alvarez 0001, Alex Ramírez Analysis of video filtering on the cell processor. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Minhyeok Shin, Hanho Lee A high-speed four-parallel radix-24 FFT/IFFT processor for UWB applications. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Tai-Hua Lu, Chung-Ho Chen, Kuen-Jong Lee A hybrid self-testing methodology of processor cores. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Syed Waqar Nabi, Cade C. Wells, Wim Vanderbauwhede A coarse-grained Dynamically Reconfigurable MAC Processor for power-sensitive multi-standard devices. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Kai Zheng 0005, Yongxin Zhu 0001, Jun Xu Evaluation of Partitioning Methods for Stream Applications on a Heterogeneous Multi-core Processor Simulator. Search on Bibsonomy EUC (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Hung-Chuan Lai, Shi-Jinn Horng, Yung-Yuan Chen An Online Control Flow Check for VLIW Processor. Search on Bibsonomy PRDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Vamsi Boppana, Rahoul Varma, S. Balajee Implementing the Best Processor Cores. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Hiroyuki Takizawa, Katsuto Sato, Hiroaki Kobayashi SPRAT: Runtime processor selection for energy-aware computing. Search on Bibsonomy CLUSTER The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15David Wentzlaff, Patrick Griffin, Henry Hoffmann, Liewei Bao, Bruce Edwards, Carl Ramey, Matthew Mattina, Chyi-Chang Miao, John F. Brown III, Anant Agarwal On-Chip Interconnection Architecture of the Tile Processor. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF MIMD processors, parallel architectures, mesh networks, multicore architectures, on-chip interconnection networks
15Andreas Brandt 0001, Manfred Brandt On the stability of the multi-queue multi-server processor sharing with limited service. Search on Bibsonomy Queueing Syst. Theory Appl. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Mathematics Subject Classification (2000) 60K25, 60G10, 60G17, 60G55, 68M20
15Tanya René Beelders, Pieter J. Blignaut, Theo McDonald, Engela Dednam The Impact of Different Icon Sets on the Usability of a Word Processor. Search on Bibsonomy HCI (10) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Usability, interface, icons
15Andrew Lines The Vortex: A Superscalar Asynchronous Processor. Search on Bibsonomy ASYNC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Yong Li 0006, Zhiying Wang 0003, Kui Dai A Low-Power Application Specific Instruction Set Processor Using Asynchronous Function Units. Search on Bibsonomy CIT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Alexey Kupriyanov, Frank Hannig, Dmitrij Kissler, Jürgen Teich, Julien Lallet, Olivier Sentieys, Sébastien Pillement Modeling of Interconnection Networks in Massively Parallel Processor Architectures. Search on Bibsonomy ARCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Xiaojun Yang, Dongdong Wu, Ninghui Sun Design of NIC Based on I/O Processor for Cluster Interconnect Network. Search on Bibsonomy IEEE NAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Robert Ronan, Colm O'hEigeartaigh, Colin C. Murphy, Tim Kerins, Paulo S. L. M. Barreto A Reconfigurable Processor for the Cryptographic nT Pairing in Characteristic 3. Search on Bibsonomy ITNG The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Daniele Paolo Scarpazza, Oreste Villa, Fabrizio Petrini Peak-Performance DFA-based String Matching on the Cell Processor. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Guan Yu, Gauthier Lafruit, Peter Schelkens Platform-scalable Task Partition and Multilevel Buffering in Multi-processor Plessey Corner Detector. Search on Bibsonomy ACSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Shuifa Sun, Bang Jun Lei, Sheng Zheng, Sam Kwong, Xuejun Zhou Design an Aperiodic Stochastic Resonance Signal Processor for Digital Watermarking. Search on Bibsonomy IWDW The full citation details ... 2007 DBLP  DOI  BibTeX  RDF signal processing, digital watermarking, stochastic resonance
15Partha Tirumalai, Yonghong Song, Spiros Kalogeropulos Performance Evaluation of Evolutionary Multi-core and Aggressively Multi-threaded Processor Architectures. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Yu Deng 0001, Xuejun Yang, Xiaobo Yan, Kun Zeng Laplace Transformation on the FT64 Stream Processor. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Yong Li 0006, Zhiying Wang 0003, Xue-mi Zhao, Jian Ruan, Kui Dai Design of a Low-Power Embedded Processor Architecture Using Asynchronous Function Units. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Yong Sun, Yu (David) Shi, Fang Chen 0001, Vera Yuk Ying Chung An Efficient Multimodal Language Processor for Parallel Input Strings in Multimodal Input Fusion. Search on Bibsonomy ICSC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Kwen-Siong Chong, Bah-Hwee Gwee, Joseph Sylvester Chang A Low Energy FFT/IFFT Processor for Hearing Aids. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Kota Yamaguchi, Yoshihiro Watanabe, Takashi Komuro, Masatoshi Ishikawa Design of a Massively Parallel Vision Processor based on Multi-SIMD Architecture. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Simon Haene, Andreas Burg, Peter Luethi, Norbert Felber, Wolfgang Fichtner FFT Processor for OFDM Channel Estimation. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Lars Bauer, Muhammad Shafique 0001, Dirk Teufel, Jörg Henkel A Self-Adaptive Extensible Embedded Processor. Search on Bibsonomy SASO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15David Robert Wallace Barr, Piotr Dudek, Jonathan M. Chambers, Kevin N. Gurney Implementation of multi-layer leaky integrator networks on a cellular processor array. Search on Bibsonomy IJCNN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Yuechao Niu, Majid Baghaei Nejad, Hannu Tenhunen, Li-Rong Zheng 0001 Design of a Digital Baseband Processor for UWB Transceiver on RFID Tag. Search on Bibsonomy AINA Workshops (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Sonia López, Steve Dropsho, David H. Albonesi, Oscar Garnica, Juan Lanchares Dynamic Capacity-Speed Tradeoffs in SMT Processor Caches. Search on Bibsonomy HiPEAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Niranjan Soundararajan, Angshuman Parashar, Anand Sivasubramaniam Mechanisms for bounding vulnerabilities of processor structures. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF redundant threading, microarchitecture, transient faults
15Gustavo A. Ruiz, Juan A. Michell, Angel M. Burón High Throughput Parallel-Pipeline 2-D DCT/IDCT Processor Chip. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF inverse discrete cosine transform (IDCT), row column decomposition, parallel pipelined architectures, very large scale integration (VLSI), image compression, discrete cosine transform (DCT)
15Carsten Albrecht, Andreas C. Döring, Frank Penczek, Torben Schneider, Hannes Schulz Impact of Coprocessors on a Multithreaded Processor Design Using Prioritized Threads. Search on Bibsonomy PDP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Eryk Laskowski, Marek Tudruj Assessment of Dynamic Look-Ahead Inter-Processor Connection Reconfiguration for Different Control Paradigms. Search on Bibsonomy ISPDC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Frederick Reiss 0001, Joseph M. Hellerstein Declarative Network Monitoring with an Underprovisioned Query Processor. Search on Bibsonomy ICDE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Martin Schoeberl A time predictable Java processor. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15José Manuel Colmenar, Oscar Garnica, Juan Lanchares, José Ignacio Hidalgo, Guadalupe Miñana, Sonia López Comparing the Performance of a 64-bit Fully-Asynchronous Superscalar Processor versus its Synchronous Counterpart. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15P. J. Joseph, Kapil Vaswani, Matthew J. Thazhuthaveetil Construction and use of linear regression models for processor performance analysis. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Miquel Pericàs, Adrián Cristal, Rubén González 0001, Daniel A. Jiménez, Mateo Valero A decoupled KILO-instruction processor. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Yongjun Wang, Xiaoming Zhang A Novel Modeling Method of Network Processor Architecture Based on SystemC. Search on Bibsonomy CIT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Ahmed Sherif Zekri, Stanislav G. Sedukhin Matrix Transpose on 2D Torus Array Processor. Search on Bibsonomy CIT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Satoshi Imai, Atsuki Inoue, Motoaki Matsumura, Kenichi Kawasaki, Atsuhiro Suga Single-chip multi-processor integrating quadruple 8-way VLIW processors with interface timing analysis considering power supply noise. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Shunitsu Kohara, Naoki Tomono, Jumpei Uchida, Yuichiro Miyaoka, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki An interface-circuit synthesis method with configurable processor core in IP-based SoC designs. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Hamid Noori, Farhad Mehdipour, Kazuaki J. Murakami, Koji Inoue, Morteza Saheb Zamani A Reconfigurable Functional Unit for an Adaptive Dynamic Extensible Processor. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Gerrit Saylor, Badriddine Khessib Large scale Itanium® 2 processor OLTP workload characterization and optimization. Search on Bibsonomy DaMoN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cache coherency, data partitioning, performance characterization, profile guided optimization, OLTP, software optimization, Itanium, ccNUMA
15Brian F. Veale, John K. Antonio, Monte P. Tull, Sean A. Jones Selection of instruction set extensions for an FPGA embedded processor core. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Nick A. Mould, Brian F. Veale, Monte P. Tull, John K. Antonio Dynamic configuration steering for a reconfigurable superscalar processor. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Nikolaos Vassiliadis, George Theodoridis, Spiridon Nikolaidis 0001 An automated development framework for a RISC processor with reconfigurable instruction set extensions. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Eryk Laskowski, Marek Tudruj Efficient Parallel Embedded Computing through Look-Ahead Configured Dynamic Inter-Processor Connections. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Jianjun Guo, Kui Dai, Zhiying Wang 0003 A Heterogeneous Multi-core Processor Architecture for High Performance Computing. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF TTA, SoC, heterogeneous, multi-core
15Jing Du 0002, Xuejun Yang, Guibin Wang, Fujiang Ao Scientific Computing Applications on the Imagine Stream Processor. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF scientific computing application, three level parallelism, multinest, stream, Imagine
15Saad Bani-Mohammad, Mohamed Ould-Khaoua, Ismail Ababneh, Lewis M. Mackenzie Non-contiguous Processor Allocation Strategy for 2D Mesh Connected Multicomputers based on Sub-meshes Available for Allocation. Search on Bibsonomy ICPADS (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 25938 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license