The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for processors with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1955-1966 (18) 1967-1968 (15) 1969-1971 (16) 1972-1974 (33) 1975 (17) 1976 (23) 1977 (33) 1978 (36) 1979 (31) 1980 (27) 1981 (51) 1982 (59) 1983 (47) 1984 (60) 1985 (69) 1986 (93) 1987 (119) 1988 (323) 1989 (310) 1990 (472) 1991 (361) 1992 (423) 1993 (437) 1994 (481) 1995 (494) 1996 (523) 1997 (615) 1998 (464) 1999 (626) 2000 (718) 2001 (675) 2002 (871) 2003 (945) 2004 (1128) 2005 (1206) 2006 (1289) 2007 (1298) 2008 (1271) 2009 (998) 2010 (576) 2011 (420) 2012 (394) 2013 (424) 2014 (396) 2015 (382) 2016 (349) 2017 (344) 2018 (314) 2019 (305) 2020 (289) 2021 (268) 2022 (221) 2023 (264) 2024 (40)
Publication types (Num. hits)
article(4927) book(19) data(2) incollection(73) inproceedings(16315) phdthesis(271) proceedings(54)
Venues (Conferences, Journals, ...)
ICCD(1830) ASAP(1422) IPDPS(503) IEEE Trans. Parallel Distribut...(441) IEEE Trans. Computers(358) CoRR(291) DATE(284) DAC(256) Euro-Par(244) ISCA(240) SC(225) MICRO(214) IEEE Trans. Very Large Scale I...(174) ICS(172) HPCA(161) ICPP(156) More (+10 of total 2222)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 18662 occurrences of 5027 keywords

Results
Found 21661 publication records. Showing 21661 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
14Hoseok Chang, Wonyong Sung Access-Pattern-Aware On-Chip Memory Allocation for SIMD Processors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Ron Gabor, Avi Mendelson, Shlomo Weiss Service level agreement for multithreaded processors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF performance, fairness, throughput, power, Service level agreement
14Alejandro Linares-Barranco, Rafael Paz, Francisco Gomez-Rodriguez, Angel Jiménez-Fernandez, Manuel Rivas, Gabriel Jiménez, Antón Civit FPGA Implementations Comparison of Neuro-cortical Inspired Convolution Processors for Spiking Systems. Search on Bibsonomy IWANN (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Klaus Jansen An EPTAS for Scheduling Jobs on Uniform Processors: Using an MILP Relaxation with a Constant Number of Integral Variables. Search on Bibsonomy ICALP (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Samir Ammenouche, Sid Ahmed Ali Touati, William Jalby On Instruction-Level Method for Reducing Cache Penalties in Embedded VLIW Processors. Search on Bibsonomy HPCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Anastasios Gounaris A Vision for Next Generation Query Processors and an Associated Research Agenda. Search on Bibsonomy Globe The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Guoping Long, Dongrui Fan, Junchao Zhang Characterizing and Understanding the Bandwidth Behavior of Workloads on Multi-core Processors. Search on Bibsonomy Euro-Par The full citation details ... 2009 DBLP  DOI  BibTeX  RDF phase model, memory bandwidth, multi-core architecture
14Rafael Ubal, Julio Sahuquillo, Salvador Petit, Pedro López 0001 Paired ROBs: A Cost-Effective Reorder Buffer Sharing Strategy for SMT Processors. Search on Bibsonomy Euro-Par The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Paolo Bottoni, Anna Labella, Florin Manea, Victor Mitrana, José M. Sempere Filter Position in Networks of Evolutionary Processors Does Not Matter: A Direct Proof. Search on Bibsonomy DNA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Nan Wu 0003, Mei Wen, Wei Wu, Ju Ren 0002, Huayou Su, Changqing Xun, Chunyuan Zhang Streaming HD H.264 encoder on programmable processors. Search on Bibsonomy ACM Multimedia The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 1080P HD, H.264 encoder, real-time, stream, programmable
14Peter D. Ungsunan, Chuang Lin 0002, Yang Wang 0018, Yi Gai Network processing performability evaluation on heterogeneous reliability multicore processors using SRN model. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Ya-Shuai Lü, Li Shen 0007, Zhiying Wang 0003, Nong Xiao Dynamically utilizing computation accelerators for extensible processors in a software approach. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF computation accelerator, ASIP, dynamic binary translation
14Yinglong Xia, Xiaojun Feng, Viktor K. Prasanna Parallel Evidence Propagation on Multicore Processors. Search on Bibsonomy PaCT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Exact inference, Scheduling, Multicore, Junction tree
14Paolo Bottoni, Anna Labella, Florin Manea, Victor Mitrana, José M. Sempere Networks of Evolutionary Picture Processors with Filtered Connections. Search on Bibsonomy UC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Michael Kadin, Sherief Reda, Augustus K. Uht Central vs. distributed dynamic thermal management for multi-core processors: which one is better? Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dfvs, timing, thermal management
14Bart Coppens 0001, Ingrid Verbauwhede, Koen De Bosschere, Bjorn De Sutter Practical Mitigations for Timing-Based Side-Channel Attacks on Modern x86 Processors. Search on Bibsonomy SP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Sourav Roy H-NMRU: A Low Area, High Performance Cache Replacement Policy for Embedded Processors. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Rajat Raina, Anand Madhavan, Andrew Y. Ng Large-scale deep unsupervised learning using graphics processors. Search on Bibsonomy ICML The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Talal Bonny, Jörg Henkel LICT: left-uncompressed instructions compression technique to improve the decoding performance of VLIW processors. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF embedded systems, code compression, Huffman coding
14Jungseob Lee, Nam Sung Kim Optimizing throughput of power- and thermal-constrained multicore processors using DVFS and per-core power-gating. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multicore processor, DVFS, power gating
14Jian Chen 0030, Lizy Kurian John Efficient program scheduling for heterogeneous multi-core processors. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF program scheduling, heterogeneous multi-core, energy-delay product
14Shafqat Khan, Emmanuel Casseau, Daniel Ménard Reconfigurable SWP Operator for Multimedia Processing. Search on Bibsonomy ASAP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Kingshuk Karuri, Anupam Chattopadhyay, Xiaolin Chen, David Kammler, Ling Hao, Rainer Leupers, Heinrich Meyr, Gerd Ascheid A Design Flow for Architecture Exploration and Implementation of Partially Reconfigurable Processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Paolo Ienne, Peter Petrov Guest Editorial Special Section on Application Specific Processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Lukas Kencl, Jean-Yves Le Boudec Adaptive load sharing for network processors. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF load balancing, computer networks, feedback control, load sharing, router architecture, packet processing
14Dongsoo Kang, Chen Liu 0001, Jean-Luc Gaudiot The Impact of Speculative Execution on SMT Processors. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Speculation control, Simultaneous multithreading, Thread scheduling, Confidence estimator
14Euiseong Seo, Jinkyu Jeong, Seon-Yeong Park, Joonwon Lee Energy Efficient Scheduling of Real-Time Tasks on Multicore Processors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Mahdi Fazeli, Reza Farivar 0003, Seyed Ghassem Miremadi Error Detection Enhancement in PowerPC Architecture-based Embedded Processors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Physical fault injection, Power supply disturbances, Concurrent error detection, Control flow checking
14Rajeev Kumar 0004, Dipankar Das 0002 Code compression for performance enhancement of variable-length embedded processors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF bus switching, code decompression, instruction memory, variable-length ISAs, embedded systems, Code compression, RISC processor
14Anupam Chattopadhyay, Harold Ishebabi, Xiaolin Chen, Zoltan Endre Rakosi, Kingshuk Karuri, David Kammler, Rainer Leupers, Gerd Ascheid, Heinrich Meyr Prefabrication and postfabrication architecture exploration for partially reconfigurable VLIW processors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF coarse-grained FPGA, VLIW, ASIP
14Qin Wang 0007, Joseph F. JáJá Interactive High-Resolution Isosurface Ray Casting on Multicore Processors. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Earl E. Swartzlander Jr. Systolic FFT Processors: A Personal Perspective. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF systolic systems, frequency domain adaptive digital filters, systolic FFT, fast fourier transforms
14Guillermo Talavera, Murali Jayapala, Jordi Carrabina, Francky Catthoor Address Generation Optimization for Embedded High-Performance Processors: A Survey. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF optimization, embedded, address generation
14Yaoping Ruan, Vivek S. Pai, Erich M. Nahum, John M. Tracey Do commodity SMT processors need more OS research? Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Xuejun Yang, Ying Zhang 0032, Jingling Xue, Ian Rogers, Gen Li 0002, Guibin Wang Exploiting loop-dependent stream reuse for stream processors. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF StreamC, stream professor, stream register file, stream reuse, stream programming model
14Norm Rubin Issues and challenges in compiling for graphics processors. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF compilers, graphics
14Meeta Sharma Gupta, Krishna K. Rangan, Michael D. Smith 0001, Gu-Yeon Wei, David M. Brooks DeCoR: A Delayed Commit and Rollback mechanism for handling inductive noise in processors. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Girish Chandramohan, Ramaswamy Govindarajan Improving Performance of Digest Caches in Network Processors. Search on Bibsonomy HiPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Michael Meitinger, Rainer Ohlendorf, Thomas Wild, Andreas Herkersdorf A Hardware Packet Re-Sequencer Unit for Network Processors. Search on Bibsonomy ARCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Yen-Kuang Chen, Wenlong Li, Jianguo Li, Tao Wang 0003 Novel parallel Hough Transform on multi-core processors. Search on Bibsonomy ICASSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Nara Yang, Gilsang Yoon, Jeonghwan Lee, Intae Hwang, Cheol Hong Kim, Jong-Myon Kim Loop Detection for Energy-Aware High Performance Embedded Processors. Search on Bibsonomy APSCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Thilo Pionteck, Roman Koch, Carsten Albrecht, Erik Maehle, Michael Meitinger, Rainer Ohlendorf, Thomas Wild, Andreas Herkersdorf Network processors. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Fernando Latorre, José González 0002, Antonio González 0001 Efficient resources assignment schemes for clustered multithreaded processors. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Carlos Boneti, Roberto Gioiosa, Francisco J. Cazorla, Julita Corbalán, Jesús Labarta, Mateo Valero Balancing HPC applications through smart allocation of resources in MT processors. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14William Lundgren Gedae's automated management of hierarchical memories on multicore processors Commercial Tutorial. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Hongzhou Chen, Lingdi Ping, Xuezeng Pan, Kuijun Lu, Xiaoping Chen A Dissipative Resource Distribution policy for SMT processors. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Kevin J. Barker, Kei Davis, Adolfy Hoisie, Darren J. Kerbyson, Michael Lang 0003, Scott Pakin, José Carlos Sancho Experiences in scaling scientific applications on current-generation quad-core processors. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14B. V. N. Silpa, Anjul Patney, Tushar Krishna, Preeti Ranjan Panda, G. S. Visweswaran Texture filter memory: a power-efficient and scalable texture memory architecture for mobile graphics processors. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Deokwoo Jung, Andreas Savvides An Energy Efficiency Evaluation for Sensor Nodes with Multiple Processors, Radios and Sensors. Search on Bibsonomy INFOCOM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Peter Yiannacouras, J. Gregory Steffan, Jonathan Rose VESPA: portable, scalable, and flexible FPGA-based vector processors. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SPREE, VESPA, VIRAM, FPGA, custom, SIMD, vector, ASIP, microarchitecture, application specific, soft processor
14Martín Molina, Gemma Blasco Human-Readable and Machine-Readable Knowledge Bases Using Specialized Word Processors. Search on Bibsonomy ICTAI (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Jun Yan 0008, Wei Zhang 0002 WCET Analysis for Multi-Core Processors with Shared L2 Instruction Caches. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Bo Xu 0018, Yaxuan Qi, Fei He, Zongwei Zhou, Yibo Xue, Jun Li 0003 Fast Path Session Creation on Network Processors. Search on Bibsonomy ICDCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Mark Muir, Iain Lindsay, Tughrul Arslan, Ioannis Nousias, Sami Khawam, Mark Milward, Nazish Aslam, Adam Major Extensible software emulator for reconfigurable instruction cell based processors. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Xiaodong Zhang 0001 Research Issues and Challenges to Advance System Software for Multicore Processors and Data-Intensive Applications. Search on Bibsonomy EUC (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Kun-Yuan Hsieh, Yen-Chih Liu, Po-Wen Wu, Shou-Wei Chang, Jenq Kuen Lee Enabling Streaming Remoting on Embedded Dual-Core Processors. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Pejman Lotfi-Kamran, Amir-Mohammad Rahmani, Ali-Asghar Salehpour, Ali Afzali-Kusha, Zainalabedin Navabi Stall Power Reduction in Pipelined Architecture Processors. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Nevine AbouGhazaleh, Bruce R. Childers, Daniel Mossé, Rami G. Melhem Integrated CPU Cache Power Management in Multiple Clock Domain Processors. Search on Bibsonomy HiPEAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Laura C. De Giusti, Franco Chichizola, Marcelo R. Naiouf, Armando De Giusti Mapping Tasks to Processors in Heterogeneous Multiprocessor Architectures: The MATEHa Algorithm. Search on Bibsonomy SCCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Wei Han 0001, Ying Yi, Mark Muir, Ioannis Nousias, Tughrul Arslan, Ahmet Teyfik Erdogan Efficient Implementation of Wireless Applications on Multi-core Platforms Based on Dynamically Reconfigurable Processors. Search on Bibsonomy CISIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Yves Vanderperren, Wim Dehaene A subsampling pulsed UWB demodulator based on a flexible complex SVD. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Rajani Pai, R. Govindarajan FEADS: A Framework for Exploring the Application Design Space on Network Processors. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF performance Evaluation, petri Nets, design space exploration, network processor, programming model, Cyclic scheduling
14Aviral Shrivastava, Sanghyun Park, Eugene Earlie, Nikil D. Dutt, Alexandru Nicolau, Yunheung Paek Automatic Design Space Exploration of Register Bypasses in Embedded Processors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Jong-eun Lee, Kiyoung Choi, Nikil D. Dutt Instruction set synthesis with efficient instruction encoding for configurable processors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF ISA customization and specialization, bitwidth-economical, Application-specific instruction set processor (ASIP), configurable processor, instruction encoding
14Amol Ghoting, Gregory Buehrer, Srinivasan Parthasarathy 0001, Daehyun Kim 0001, Anthony D. Nguyen, Yen-Kuang Chen, Pradeep Dubey Cache-conscious frequent pattern mining on modern and emerging processors. Search on Bibsonomy VLDB J. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Cache-conscious data mining, Architecture-conscious algorithms, Association rule mining, Frequent pattern mining, Frequent itemset mining
14Francesca Palumbo, Danilo Pani, Luigi Raffo, Simone Secchi A Surface Tension and Coalescence Model for Dynamic Distributed Resources Allocation in Massively Parallel Processors on-Chip. Search on Bibsonomy NICSO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Claudio Talarico, Min-Sung Koh, Esteban Rodriguez-Marek System Level Performance Assessment of SOC Processors with SystemC. Search on Bibsonomy ECBS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Jos Huisken Integrating VLIW Processors with a Network on Chip. Search on Bibsonomy SAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Delong Shang, Chi-Hoon Shin, Ping Wang, Fei Xia, Albert Koelmans, Myeong-Hoon Oh, Seongwoon Kim, Alexandre Yakovlev Asynchronous Functional Coupling for Low Power Sensor Network Processors. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Alexey L. Lastovetsky On Grid-based Matrix Partitioning for Heterogeneous Processors. Search on Bibsonomy ISPDC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Chin-Long Wey, Wei-Chien Tang, Shin-Yo Lin Efficient VLSI Implementation of Memory-Based FFT Processors for DVB-T Applications. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Frederico De Faria, Marius Strum, Jiang Chau Wang A System-level Performance Evaluation Methodology for Network Processors Based on Network Calculus Analytical Modeling. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Kubilay Atasu, Robert G. Dimond, Oskar Mencer, Wayne Luk, Can C. Özturan, Günhan Dündar Optimizing instruction-set extensible processors under data bandwidth constraints. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Laura Pozzi, Pierre G. Paulin A future of customizable processors: are we there yet? Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Athanasios Milidonis, Nikolaos Alachiotis 0002, Vasileios Porpodas, Haralambos Michail, Athanasios Kakarountas, Constantinos E. Goutis Interactive presentation: A decoupled architecture of processors with scratch-pad memory hierarchy. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Manoj Gupta 0001, Fermín Sánchez, Josep Llosa Merge Logic for Clustered Multithreaded VLIW Processors. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Gunar Schirner, Andreas Gerstlauer, Rainer Dömer Abstract, Multifaceted Modeling of Embedded Processors for System Level Design. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Juan Castellanos, Florin Manea, Luis Fernando de Mingo López, Victor Mitrana Accepting Networks of Splicing Processors with Filtered Connections. Search on Bibsonomy MCU The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Tomas Dedek, Tomas Marek, Tomás Martínek High Level Abstraction Language as an Alternative to Embedded Processors for Internet Packet Processing in FPGA. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Gustavo Liñán Cembrano Focal plane processors & pixel level processing: mimicking natural vision systems to solve image processing problems. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF analog image processing, neural networks, CMOS image sensors, focal plane
14Sadaf R. Alam, Pratul K. Agarwal On the Path to Enable Multi-scale Biomolecular Simulations on PetaFLOPS Supercomputer with Multi-core Processors. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Natalia Dowding, Andy M. Tyrrell Sliding Algorithm for Reconfigurable Arrays of Processors. Search on Bibsonomy ICES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Erfu Yang, Ahmet T. Erdogan, Tughrul Arslan, Nick Barton System-Level Modeling and Multi-objective Evolutionary Design of Pipelined FFT Processors for Wireless OFDM Receivers. Search on Bibsonomy ICES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Alexey L. Lastovetsky, Ravi Reddy A Novel Algorithm of Optimal Matrix Partitioning for Parallel Dense Factorization on Heterogeneous Processors. Search on Bibsonomy PaCT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14José A. M. de Holanda, Jecel Assumpcao, Denis F. Wolf, Eduardo Marques, João M. P. Cardoso On Adapting Power Estimation Models for Embedded Soft-Core Processors. Search on Bibsonomy SIES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Jeremy W. Sheaffer, David P. Luebke, Kevin Skadron A hardware redundancy and recovery mechanism for reliable scientific computation on graphics processors. Search on Bibsonomy Graphics Hardware The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Ahmad Zmily, Christos Kozyrakis A low power front-end for embedded processors using a block-aware instruction set. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF instruction re-ordering, low power front-end, software hints, tagless instruction cache, unified instruction cache and BTB, instruction prefetching
14Anupam Chattopadhyay, Zoltan Endre Rakosi, Kingshuk Karuri, David Kammler, Rainer Leupers, Gerd Ascheid, Heinrich Meyr Pre- and Post-Fabrication Architecture Exploration for Partially Reconfigurable VLIW Processors. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Francisco J. Mesa-Martinez, Michael Brown, Joseph Nayfach-Battilana, Jose Renau Measuring performance, power, and temperature from real processors. Search on Bibsonomy Experimental Computer Science The full citation details ... 2007 DBLP  DOI  BibTeX  RDF power and thermal measurements
14Abhishek Pillai, Wei Zhang 0002, Laurence Tianruo Yang Exploring Functional Unit Design Space of VLIW Processors for Optimizing Both Performance and Energy Consumption. Search on Bibsonomy AINA Workshops (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Keith D. Underwood, Michael J. Levenhagen, Ron Brightwell Evaluating NIC hardware requirements to achieve high message rate PGAS support on multi-core processors. Search on Bibsonomy SC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Saad Bani-Mohammad, Mohamed Ould-Khaoua, Ismail Ababneh, Lewis M. Mackenzie An Efficient Processor Allocation Strategy that Maintains a High Degree of Contiguity among Processors in 2D Mesh Connected Multicomputers. Search on Bibsonomy AICCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Susanne Albers, Fabian Müller, Swen Schmelzer Speed scaling on parallel processors. Search on Bibsonomy SPAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF approximation algorithms, energy efficiency, NP-completeness, online algorithms, multiprocessor scheduling
14Yaxuan Qi, Bo Xu 0018, Fei He, Baohua Yang, Jianming Yu, Jun Li 0003 Towards high-performance flow-level packet processing on multi-core network processors. Search on Bibsonomy ANCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF packet order, classification, hashing, network processor
14Nikolas Kroupis, Nikolaos D. Zervas, Minas Dasygenis, Konstantinos Tatas, Antonios Argyriou, Dimitrios Soudris, Antonios Thanailakis Behavioral-Level Performance and Power Exploration of Data-Intensive Applications Mapped on Programmable Processors. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Programmable platforms, estimation methodology, instruction complexity, memory transfers
14Ali Fuat Alkaya, Haluk Rahmi Topcuoglu A task scheduling algorithm for arbitrarily-connected processors with awareness of link contention. Search on Bibsonomy Clust. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Heterogeneous systems, Task graphs, DAG scheduling, Link contention
14Holger Blume, Daniel Becker 0001, Martin Botteck, Jörg Brakensiek, Tobias G. Noll Hybrid Functional and Instruction Level Power Modeling for Embedded Processors. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Daisuke Takahashi An Implementation of Parallel 1-D FFT Using SSE3 Instructions on Dual-Core Processors. Search on Bibsonomy PARA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Heon-Mo Koo, Prabhat Mishra 0001 Functional test generation using property decompositions for validation of pipelined processors. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Ahmad Zmily, Christos Kozyrakis Simultaneously improving code size, performance, and energy in embedded processors. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 21661 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license