The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for CMP with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1994-2000 (24) 2001-2002 (22) 2003-2004 (31) 2005 (59) 2006 (80) 2007 (132) 2008 (124) 2009 (102) 2010 (70) 2011 (36) 2012 (16) 2013 (19) 2014 (17) 2015-2016 (24) 2017-2019 (15) 2020-2023 (19) 2024 (2)
Publication types (Num. hits)
article(156) incollection(2) inproceedings(627) phdthesis(7)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 857 occurrences of 424 keywords

Results
Found 792 publication records. Showing 792 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
164Norma Rodriguez, Li Song, Shishir Shroff, Kuang Han Chen, Taber Smith, Wilbur Luo Hotspot Prevention Using CMP Model in Design Implementation Flow. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CMP modeling, CMP aware design, hotspot
122Alberto Ros 0001, Manuel E. Acacio, José M. García 0001 DiCo-CMP: Efficient cache coherency in tiled CMP architectures. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
103Andrew B. Kahng, Puneet Sharma, Alexander Zelikovsky Fill for shallow trench isolation CMP. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
103Ravi R. Iyer 0001, Mahesh Bhat, Li Zhao 0002, Ramesh Illikkal, Srihari Makineni, Michael Jones, Kumar Shiv, Donald Newell Exploring Small-Scale and Large-Scale CMP Architectures for Commercial Java Servers. Search on Bibsonomy IISWC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
100Kypros Constantinides, Stephen Plaza, Jason A. Blome, Valeria Bertacco, Scott A. Mahlke, Todd M. Austin, Bin Zhang 0011, Michael Orshansky Architecting a reliable CMP switch architecture. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF CMP switch, reliability, defect-tolerance
92Ruchira Sasanka, Sarita V. Adve, Yen-Kuang Chen, Eric Debes The energy efficiency of CMP vs. SMT for multimedia workloads. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multimedia, energy efficiency, CMP, SMT
84Yanming Jia, Yici Cai, Xianlong Hong Full-chip routing system for reducing Cu CMP & ECP variation. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF electroplating, routing, chemical mechanical polishing
84Minsik Cho, David Z. Pan, Hua Xiang 0001, Ruchir Puri Wire density driven global routing for CMP variation and timing. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF performance, VLSI, manufacturability, global routing
84Yingmin Li, David M. Brooks, Zhigang Hu, Kevin Skadron Performance, Energy, and Thermal Considerations for SMT and CMP Architectures. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
76Dmitry G. Korzun, Andrei V. Gurtov A local equilibrium model for P2P resource ranking. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
76Bill Lin 0001, Jun (Jim) Xu, Nan Hua, Hao Wang 0006, Haiquan (Chuck) Zhao A randomized interleaved DRAM architecture for the maintenance of exact statistics counters. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
76Sipat Triukose, Zhihua Wen, Michael Rabinovich Content delivery networks: how big is big enough? Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
76Alma Riska, Erik Riedel Evaluation of disk-level workloads at different time scales. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
76Alberto Ros 0001, Manuel E. Acacio, José M. García 0001 Dealing with Traffic-Area Trade-Off in Direct Coherence Protocols for Many-Core CMPs. Search on Bibsonomy APPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
76Carmelo Acosta, Francisco J. Cazorla, Alex Ramírez, Mateo Valero MFLUSH: Handling Long-Latency Loads in SMT On-Chip Multiprocessors. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
75Andrew B. Kahng, Kambiz Samadi CMP Fill Synthesis: A Survey of Recent Studies. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
75Sewook Wee, Jared Casper, Njuguna Njoroge, Yuriy Teslyar, Daxia Ge, Christos Kozyrakis, Kunle Olukotun A practical FPGA-based framework for novel CMP research. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA-based emulation, transactional memory, chip multi-processor
75Yuxing Tang, Kun Deng, Xingming Zhou The Design Space of CMP vs. SMT for High Performance Embedded Processor. Search on Bibsonomy ICESS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
75Jason Cong, Ashok Jagannathan, Glenn Reinman, Yuval Tamir Understanding the energy efficiency of SMT and CMP with multiclustering. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF energy efficiency, simultaneous multithreading, chip multiprocessing
73Min-Chun Tsai A formula of STI cmp design rule. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sti, topography, cmp, design rules, dfm
73Yin Shen, Yici Cai, Qiang Zhou 0001, Xianlong Hong DFM Based Detailed Routing Algorithm for ECP and CMP. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ECP, CMP, DFM, detailed routing
73Linzhi Ning, Wenbin Yao, Jun Ni, Nianmin Yao Fault-Tolerance CMP Architecture based on SMT Technology. Search on Bibsonomy IMSCCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fault tolerance, CMP, thread, SMT
73Mohamed A. Gomaa, Michael D. Powell, T. N. Vijaykumar Heat-and-run: leveraging SMT and CMP to manage power density through the operating system. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF CMP, migration, SMT, heat, power density
72Lei He 0001, Andrew B. Kahng, King Ho Tam, Jinjun Xiong Simultaneous buffer insertion and wire sizing considering systematic CMP variation and random leff variation. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF yield, buffering, design for manufacturing, wire sizing, chemical mechanical polishing (CMP)
65Eddy Z. Zhang, Yunlian Jiang, Xipeng Shen Does cache sharing on modern CMP matter to the performance of contemporary multithreaded programs? Search on Bibsonomy PPoPP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parallel program optimizations, chip multiprocessors, shared cache, thread scheduling
65Jaideep Moses, Konstantinos Aisopos, Aamer Jaleel, Ravi R. Iyer 0001, Ramesh Illikkal, Donald Newell, Srihari Makineni CMPSched$im: Evaluating OS/CMP interaction on shared cache management. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
65Lih Wen-Chen, Satish T. S. Bukkapatnam, Prahalad K. Rao, Naga Chandrasekharan, Ranga Komanduri Adaptive Neuro-Fuzzy Inference System Modeling of MRR and WIWNU in CMP Process With Sparse Experimental Data. Search on Bibsonomy IEEE Trans Autom. Sci. Eng. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
65Venkatesan Packirisamy, Yangchun Luo, Wei-Lung Hung, Antonia Zhai, Pen-Chung Yew, Tin-Fook Ngai Efficiency of thread-level speculation in SMT and CMP architectures - performance, power and thermal perspective. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
65Vijaykumar Ramamurthi, Jason McCollum, Christopher Ostler, Karam S. Chatha System Level Methodology for Programming CMP Based Multi-Threaded Network Processor Architectures. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
65Michael R. Marty, Jesse D. Bingham, Mark D. Hill, Alan J. Hu, Milo M. K. Martin, David A. Wood 0001 Improving Multiple-CMP Systems Using Token Coherence. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
64David K. Tam, Reza Azimi, Michael Stumm Thread clustering: sharing-aware scheduling on SMP-CMP-SMT multiprocessors. Search on Bibsonomy EuroSys The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cache behavior, detecting sharing, performance monitoring unit, single-chip multiprocessors, thread placement, resource allocation, CMP, multithreading, sharing, SMP, simultaneous multithreading, SMT, shared caches, cache locality, thread scheduling, thread migration, hardware performance monitors, hardware performance counters, affinity scheduling
57Yadan Deng, Ning Jing, Wei Xiong 0010, Chen Luo, Hongsheng Chen Hash Join Optimization Based on Shared Cache Chip Multi-processor. Search on Bibsonomy DASFAA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Radix-Join, Shared L2-Cache, Chip Multi-Processor, Cache Conflict
57Evgeny Bolotin, Zvika Guz, Israel Cidon, Ran Ginosar, Avinoam Kolodny The Power of Priority: NoC Based Distributed Cache Coherency. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
57Christof Pitter, Martin Schoeberl Towards a Java multiprocessor. Search on Bibsonomy JTRES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Java, multiprocessor, shared memory
57Masayuki Abe, Ronald Cramer, Serge Fehr Non-interactive Distributed-Verifier Proofs and Proving Relations among Commitments. Search on Bibsonomy ASIACRYPT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
56Yuanming Zhang, Kanemitsu Ootsu, Takashi Yokota, Takanobu Baba Clustered Decoupled Software Pipelining on Commodity CMP. Search on Bibsonomy ICPADS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
56Donald Newell Workloads, Scalability, and QoS Considerations in CMP Platforms. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
56Pengyong Ma, Shuming Chen M2SI: An Improved Coherency Protocol in CMP. Search on Bibsonomy IEEE NAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
56Kypros Constantinides, Stephen Plaza, Jason A. Blome, Bin Zhang 0011, Valeria Bertacco, Scott A. Mahlke, Todd M. Austin, Michael Orshansky BulletProof: a defect-tolerant CMP switch architecture. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
56James Burns, Jean-Luc Gaudiot Area and System Clock Effects on SMT/CMP Throughput. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF layout area estimation, microarchitecture trade off, processor architecture, SMT
56Khaled Z. Ibrahim, Gregory T. Byrd, Eric Rotenberg Slipstream Execution Mode for CMP-Based Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
56James Burns, Jean-Luc Gaudiot Area and System Clock Effects on SMT/CMP Processors. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
56Stefanos Kaxiras, Girija J. Narlikar, Alan D. Berenbaum, Zhigang Hu Comparing power consumption of an SMT and a CMP DSP for mobile phone workloads. Search on Bibsonomy CASES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
56Haixun Wang, Carlo Zaniolo CMP: A Fast Decision Tree Classifier Using Multivariate Predictions. Search on Bibsonomy ICDE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
54Jiuzhen Jin, Jianmin Pang, Zheng Shan, Rongcai Zhao Queuing Network Performance Model for Evaluation of CMP-Based VoIP SPS. Search on Bibsonomy ACIS-ICIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF GI/G/m-FCFS open Queuing Network, Performance Evaluation, CMP, SPS
54Ravi R. Iyer 0001, Li Zhao 0002, Fei Guo, Ramesh Illikkal, Srihari Makineni, Donald Newell, Yan Solihin, Lisa R. Hsu, Steven K. Reinhardt QoS policies and architecture for cache/memory in CMP platforms. Search on Bibsonomy SIGMETRICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cache/memory, resource sharing priniciples, QoS, quality of service, performance, CMP, service level agreements
54Ravi R. Iyer 0001 CQoS: a framework for enabling QoS in shared caches of CMP platforms. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF QoS, performance, cache, partitioning, CMP, sharing
53Jisheng Zhao, Matthew Horsnell, Mikel Luján, Ian Rogers, Chris C. Kirkham, Ian Watson Adaptive Loop Tiling for a Multi-cluster CMP. Search on Bibsonomy ICA3PP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Multi-Cluster CMP, Automatic Parallelization, Loop Tiling, Feedback-Directed Optimization
48Wenlong Li, Eric Q. Li, Aamer Jaleel, Jiulong Shan, Yurong Chen 0001, Qigang Wang, Ravi R. Iyer 0001, Ramesh Illikkal, Yimin Zhang 0002, Dong Liu, Michael Liao, Wei Wei, Jinhua Du Understanding the Memory Performance of Data-Mining Workloads on Small, Medium, and Large-Scale CMPs Using Hardware-Software Co-simulation. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF DRAM caches, small-scale CMP, medium-scale CMP, large-scale CMP, hardware-software co-simulation, terabyte-level workloads, multithreaded data mining applications, cache design, memory performance, multicore systems, memory system performance
47Fei Guo, Yan Solihin, Li Zhao 0002, Ravishankar R. Iyer 0001 A Framework for Providing Quality of Service in Chip Multi-Processors. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47David A. Penry, Daniel Fay, David Hodgdon, Ryan Wells, Graham Schelle, David I. August, Dan Connors Exploiting parallelism and structure to accelerate the simulation of chip multi-processors. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
47Ruiqi Tian, Xiaoping Tang, Martin D. F. Wong Dummy-feature placement for chemical-mechanical polishinguniformity in a shallow-trench isolation process. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
47Ruiqi Tian, Martin D. F. Wong, Robert Boone Model-based dummy feature placement for oxide chemical-mechanicalpolishing manufacturability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
47Ruiqi Tian, Xiaoping Tang, D. F. Wong 0001 Dummy feature placement for chemical-mechanical polishing uniformity in a shallow trench isolation process. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
47Ruiqi Tian, D. F. Wong 0001, Robert Boone Model-based dummy feature placement for oxide chemical-mechanical polishing manufacturability. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
47David Wai-Lok Cheung, Bo Zhou, Ben Kao, Hongjun Lu, Tak Wah Lam, Hing-Fung Ting Requirement-Based Data Cube Schema Design. Search on Bibsonomy CIKM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF data cube schema design, DSS, OLAP, data cubes
47Hsiao-Feng Steven Chen, D. T. Lee On crossing minimization problem. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
47Andrew Herdrich, Ramesh Illikkal, Ravi R. Iyer 0001, Donald Newell, Vineet Chadha, Jaideep Moses Rate-based QoS techniques for cache/memory in CMP platforms. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF p-states, performance differentiation, t-states, cache, memory, rate control, qos, clock gating, frequency scaling, dvfs
47Brian M. Rogers, Anil Krishna, Gordon B. Bell, Ken V. Vu, Xiaowei Jiang, Yan Solihin Scaling the bandwidth wall: challenges in and avenues for CMP scaling. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF analytical model, memory bandwidth, chip multi-processor
47Antonio Flores, Juan L. Aragón, Manuel E. Acacio An energy consumption characterization of on-chip interconnection networks for tiled CMP architectures. Search on Bibsonomy J. Supercomput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Power dissipation model, Microarchitectural level simulator, Heterogeneus on-chip interconnection network, Chip-multiprocessor, Parallel scientific applications
47Tung-Chieh Chen, Minsik Cho, David Z. Pan, Yao-Wen Chang Metal-Density-Driven Placement for CMP Variation and Routability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
47Lei He 0001, Andrew B. Kahng, King Ho Tam, Jinjun Xiong Simultaneous Buffer Insertion and Wire Sizing Considering Systematic CMP Variation and Random Leff Variation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Richard T. Saunders, Clinton L. Jeffery, Derek T. Jones A Portable Framework for High-Speed Parallel Producer/Consumers on Real CMP, SMT and SMP Architectures. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Antonio Flores, Juan L. Aragón, Manuel E. Acacio Sim-PowerCMP: A Detailed Simulator for Energy Consumption Analysis in Future Embedded CMP Architectures. Search on Bibsonomy AINA Workshops (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Bratin Saha, Ali-Reza Adl-Tabatabai, Anwar M. Ghuloum, Mohan Rajagopalan, Richard L. Hudson, Leaf Petersen, Vijay Menon 0002, Brian R. Murphy, Tatiana Shpeisman, Eric Sprangle, Anwar Rohillah, Doug Carmean, Jesse Fang Enabling scalability and performance in a large scale CMP environment. Search on Bibsonomy EuroSys The full citation details ... 2007 DBLP  DOI  BibTeX  RDF runtime design, scheduler design, sequestered mode, parallel programming, memory management, transactional memory, multi-core processors, synchronization primitives
47Xuemei Zhao, Karl Sammut, Fangpo He Performance Evaluation of a Novel CMP Cache Structure for Hybrid Workloads. Search on Bibsonomy PDCAT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Aamer Jaleel, Matthew Mattina, Bruce L. Jacob Last level cache (LLC) performance of data mining workloads on a CMP - a case study of parallel bioinformatics workloads. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
47Kyriakos Stavrou, Paraskevas Evripidou, Pedro Trancoso DDM-CMP: Data-Driven Multithreading on a Chip Multiprocessor. Search on Bibsonomy SAMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
47Gang Xu, Ruiqi Tian, David Z. Pan, Martin D. F. Wong CMP aware shuttle mask floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
47Jose Renau, Karin Strauss, Luis Ceze, Wei Liu 0014, Smruti R. Sarangi, James Tuck 0001, Josep Torrellas Thread-Level Speculation on a CMP can be energy efficient. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
45Sanjeev Kumar, Christopher J. Hughes, Anthony D. Nguyen Carbon: architectural support for fine-grained parallelism on chip multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF loop and task parallelism, CMP, architectural support
45Li Zhao 0002, Ravi R. Iyer 0001, Jaideep Moses, Ramesh Illikkal, Srihari Makineni, Donald Newell Exploring Large-Scale CMP Architectures Using ManySim. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF performance evaluation, architecture, CMP, workload characterization, servers, simulation techniques
44Hamid Shojaei, Amir Hossein Ghamarian, Twan Basten, Marc Geilen, Sander Stuijk, Rob Hoes A parameterized compositional multi-dimensional multiple-choice knapsack heuristic for CMP run-time management. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CMP run-time management, MMKP, Pareto algebra
44Li Zhao 0002, Ravi R. Iyer 0001, Srihari Makineni, Ramesh Illikkal, Jaideep Moses, Donald Newell Constraint-Aware Large-Scale CMP Cache Design. Search on Bibsonomy HiPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Large Scale CMP, constraint-aware design, CAAM, LCMP, cache hierarchy
44Shuichi Sakai CMP on SoC: Architect's View. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF CMP (Chip Multiprocessor), I/O centric, SoC (System on Chip), parallel processing, dependability
38Yaoguang Wei, Sachin S. Sapatnekar Dummy fill optimization for enhanced manufacturability. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF routing, design for manufacturability, chemical-mechanical polishing, dummy fill
38Takeshi Ogasawara Scalability limitations when running a Java web server on a chip multiprocessor. Search on Bibsonomy SYSTOR The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance, multi-cores, JVMs, web servers
38Jaejin Lee, Changhee Jung, Daeseob Lim, Yan Solihin Prefetching with Helper Threads for Loosely Coupled Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
38Reetuparna Das, Soumya Eachempati, Asit K. Mishra, Narayanan Vijaykrishnan, Chita R. Das Design and evaluation of a hierarchical on-chip interconnect for next-generation CMPs. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
38Noriko Takagi, Hiroshi Sasaki 0001, Masaaki Kondo, Hiroshi Nakamura Cooperative shared resource access control for low-power chip multiprocessors. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power, chip multiprocessors, cache partitioning, dvfs, resource conflict
38Yefu Wang, Kai Ma, Xiaorui Wang Temperature-constrained power control for chip multiprocessors with online model estimation. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF power management, chip multiprocessor, feedback control
38Rezaul Alam Chowdhury, Vijaya Ramachandran Cache-efficient dynamic programming algorithms for multicores. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallelism, multicore, shared cache, distributed cache, cache-efficiency
38Jason Cong, Guoling Han, Ashok Jagannathan, Glenn Reinman, Krzysztof Rutkowski Accelerating Sequential Applications on CMPs Using Core Spilling. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Salman Khan 0002, Polychronis Xekalakis, John Cavazos, Marcelo Cintra Using PredictiveModeling for Cross-Program Design Space Exploration in Multicore Systems. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Philip Machanick Design principles for a virtual multiprocessor. Search on Bibsonomy SAICSIT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF chip multiprocessor, instruction-level parallelism
38James Tuck 0001, Wei Liu 0014, Josep Torrellas CAP: Criticality analysis for power-efficient speculative multithreading. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Nan Wang, Xuhui Liu, Jin He, Jizhong Han, Lisheng Zhang, Zhiyong Xu 0003 Collaborative Memory Pool in Cluster System. Search on Bibsonomy ICPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Pedro Trancoso, Paraskevas Evripidou, Kyriakos Stavrou, Costas Kyriacou A Case for Chip Multiprocessors Based on the Data-Driven Multithreading Model. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF data-driven execution, parallel processing, Chip multiprocessor, multithreading
38Francisco J. Villa, Manuel E. Acacio, José M. García 0001 On the Evaluation of Dense Chip-Multiprocessor Architectures. Search on Bibsonomy ICSAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Haixia Wang 0001, Dongsheng Wang 0002, Peng Li 0031 Acceleration Techniques for Chip-Multiprocessor Simulator Debug. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Kyriakos Stavrou, Pedro Trancoso, Paraskevas Evripidou Hardware Budget and Runtime System for Data-Driven Multithreaded Chip Multiprocessor. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Jichuan Chang, Gurindar S. Sohi Cooperative Caching for Chip Multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
37Huang-Yu Chen, Szu-Jui Chou, Yao-Wen Chang Density gradient minimization with coupling-constrained dummy fill for CMP control. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF density gradient, manufacturability, chemical-mechanical polishing, dummy fill
37Huang-Yu Chen, Szu-Jui Chou, Sheng-Lung Wang, Yao-Wen Chang A Novel Wire-Density-Driven Full-Chip Routing System for CMP Variation Control. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
37Ricardo Fernández Pascual, José M. García 0001, Manuel E. Acacio, José Duato Extending the TokenCMP Cache Coherence Protocol for Low Overhead Fault Tolerance in CMP Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
37Zhimin Gu, Ninghan Zheng, Jie Tang Yan Huang An analysis and experimental approach to teaching data prefetching on CMP. Search on Bibsonomy Summit on Computing Education in China The full citation details ... 2008 DBLP  DOI  BibTeX  RDF data push, data prefetching
37Song Hao, Zhihui Du, David A. Bader, Man Wang A Prediction Based CMP Cache Migration Policy. Search on Bibsonomy HPCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
37Tung-Chieh Chen, Minsik Cho, David Z. Pan, Yao-Wen Chang Metal-density driven placement for cmp variation and routability. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF VLSI, placement, physical design, manufacturability
37Haixia Wang 0001, Dongsheng Wang 0002, Peng Li 0031, Jinglei Wang, XianPing Fu Exploit Temporal Locality of Shared Data in SRC Enabled CMP. Search on Bibsonomy NPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
37Jie Tao 0001, Kim D. Hoàng, Wolfgang Karl CMP Cache Architecture and the OpenMP Performance. Search on Bibsonomy IWOMP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 792 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license