The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase Dual-Vt (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1998-2002 (23) 2003-2004 (19) 2005-2006 (15) 2007-2008 (18) 2009-2014 (15) 2015-2016 (2)
Publication types (Num. hits)
article(20) inproceedings(72)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 64 occurrences of 43 keywords

Results
Found 92 publication records. Showing 92 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
76Fei Li 0003, Yan Lin 0001, Lei He 0001, Jason Cong Low-power FPGA using pre-defined dual-Vdd/dual-Vt fabrics. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, low power, power efficient, dual-Vdd, dual-Vt
49Qi Wang, Sarma B. K. Vrudhula An Investigation of Power Delay Tradeoffs for Dual Vt CMOS Circuits. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF low power, CMOS circuits, dual Vt
35Amit Agarwal 0001, Kunhyuk Kang, Swarup Bhunia, James D. Gallagher, Kaushik Roy 0001 Device-Aware Yield-Centric Dual-Vt Design Under Parameter Variations in Nanoscale Technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Akhilesh Kumar, Mohab Anis Dual-Vt Design of FPGAs for Subthreshold Leakage Tolerance. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Amit Agarwal 0001, Kunhyuk Kang, Swarup Bhunia, James D. Gallagher, Kaushik Roy 0001 Effectiveness of low power dual-Vt designs in nano-scale technologies under process parameter variations. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF process variation, yield, leakage, dual-Vt, metal gate
26Jungseob Lee, Azadeh Davoodi Comparison of Dual-Vt Configurations of SRAM Cell Considering Process-Induced Vt Variations. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Akhilesh Kumar, Mohab Anis Dual-Threshold CAD Framework for Subthreshold Leakage Power Aware FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Debasis Samanta, Ajit Pal Synthesis of Dual-VT Dynamic CMOS Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF nora logic, unate decomposition, low power, Logic synthesis, high performance, leakage power, domino logic, dynamic circuits, dual-VT
24Tanay Karnik, Yibin Ye, James W. Tschanz, Liqiong Wei, Steven M. Burns, Venkatesh Govindarajulu, Vivek De, Shekhar Borkar Total power optimization by simultaneous dual-Vt allocation and device sizing in high performance microprocessors. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Dual-Vt design, multiple threshold, optimization, sizing
21Jaehyun Kim, Youngsoo Shin Minimizing leakage power in sequential circuits by using mixed Vt flip-flops. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Behnam Amelifard, Farzan Fallah, Massoud Pedram Reducing the sub-threshold and gate-tunneling leakage of SRAM cells using Dual-Vt and Dual-Tox assignment. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Aditya Bansal, Jae-Joon Kim, Keunwoo Kim, Saibal Mukhopadhyay, Ching-Te Chuang, Kaushik Roy 0001 Optimal Dual-VT Design in Sub-100 Nanometer PDSOI and Double-Gate Technologies. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Lara D. Oliver, Krishnendu Chakrabarty, Hisham Z. Massoud An evaluation of the impact of gate oxide tunneling on dual-Vt-based leakage reduction techniques. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF gate oxide tunneling, low power circuit design, subthreshold leakage, dual threshold voltage
18Debasis Samanta, Ajit Pal Synthesis of Low Power High Performance Dual-VT PTL Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Fatih Hamzaoglu, Yibin Ye, Ali Keshavarzi, Kevin Zhang 0001, Siva G. Narendra, Shekhar Borkar, Mircea R. Stan, Vivek De Analysis of dual-VT SRAM cells with full-swing single-ended bit line sensing for on-chip cache. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Debasis Samanta, Ajit Pal Optimal Dual -VT Assignment for Low-Voltage Energy-Constrained CMOS Circuits. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Muhammad E. S. Elrabaa, Mohamed I. Elmasry Split-Gate Logic circuits for multi-threshold technologies. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Navid Azizi, Andreas Moshovos, Farid N. Najm Low-leakage asymmetric-cell SRAM. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF low-leakage, low-power, SRAM, dual-Vt
14Jungseob Lee, Lin Xie, Azadeh Davoodi A Dual-Vt low leakage SRAM array robust to process variations. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Chulwoo Kim, Ki-Wook Kim, Sung-Mo Kang Energy-efficient skewed static logic with dual Vt: design and synthesis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Chua-Chin Wang, Chi-Chun Huang, Ching-Li Lee, Tsai-Wen Cheng A Low Power High-Speed 8-Bit Pipelining CLA Design Using Dual-Threshold Voltage Domino Logic. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Vivek De Leakage-tolerant design techniques for high performance processors. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Volkan Kursun, Eby G. Friedman Low swing dual threshold voltage domino logic. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Behnam Amelifard, Farzan Fallah, Massoud Pedram Leakage Minimization of SRAM Cells in a Dual-Vt and Dual-Tox Technology. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Dongwoo Lee, David T. Blaauw, Dennis Sylvester Runtime leakage minimization through probability-aware dual-Vt or dual-tox assignment. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Sandeep Gupta, Jaya Singh, Abhijit Roy A Novel Cell-Based Heuristic Method for Leakage Reduction in Multi-Million Gate VLSI Designs. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Dual-Vt Technology, Cell-Based Approach, Cell-swapping, Leakage Power
10Steven Hsu, Amit Agarwal 0001, Kaushik Roy 0001, Ram Krishnamurthy 0001, Shekhar Borkar An 8.3GHz dual supply/threshold optimized 32b integer ALU-register file loop in 90nm CMOS. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dual-Vt/Vcc, flip-flop, hot spot, level converter
10Feng Gao 0017, John P. Hayes Total power reduction in CMOS circuits via gate sizing and multiple threshold voltages. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, linear programming, gate sizing, dual Vt
10Robert Bai, Sarvesh H. Kulkarni, Wesley Kwong, Ashish Srivastava, Dennis Sylvester, David T. Blaauw An Implementation of a 32-bit ARM Processor Using Dual Power Supplies and Dual Threshold Voltages. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ARM processor, Low power design, CVS, Dual-Vt
10Supamas Sirichotiyakul, Tim Edwards, Chanhee Oh, Jingyan Zuo, Abhijit Dharchoudhury, Rajendran Panda, David T. Blaauw Stand-by Power Minimization Through Simultaneous Threshold Voltage Selection and Circuit Sizing. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF low-power-design, leakage, dual-Vt
9Kanak Agarwal, Dennis Sylvester, David T. Blaauw, Anirudh Devgan Achieving continuous VT performance in a dual VT process. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Supamas Sirichotiyakul, Tim Edwards, Chanhee Oh, Rajendran Panda, David T. Blaauw Duet: an accurate leakage estimation and optimization tool for dual-Vt circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Qi Wang, Sarma B. K. Vrudhula Algorithms for minimizing standby power in deep submicrometer, dual-Vt CMOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Chulwoo Kim, Ki-Wook Kim, Sung-Mo Kang Energy-efficient skewed static logic design with dual Vt. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
8Kiyoo Itoh 0001 Leakage- and variability-conscious circuit designs for the 0.5-v nanoscale CMOS era. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 0.5-v nanoscale cmos lsis, conventional mosfet, minimum vdd, speed variation, vt variation, leakage, sram, dram, finfet
8Lin Yuan, Gang Qu 0001 Simultaneous input vector selection and dual threshold voltage assignment for static leakage minimization. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Somsubhra Mondal, Seda Ogrenci Memik Power Optimization Techniques for SRAM-Based FPGAs. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Dongwoo Lee, Harmander Deogun, David T. Blaauw, Dennis Sylvester Simultaneous State, Vt and Tox Assignment for Total Standby Power Minimization. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Ge Yang 0004, Zhongda Wang, Sung-Mo Kang Leakage-Proof Domino Circuit Design for Deep Sub-100nm Technologies. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Ashish Srivastava, Dennis Sylvester, David T. Blaauw Statistical optimization of leakage power considering process variations using dual-Vth and sizing. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF optimization, variability, leakage
8Li Yang, J. S. Yuan Enhanced Techniques for Current Balanced Logic in Mixed-Signal ICs. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Chulwoo Kim, Jaesik Lee, Kwang-Hyun Baek, Eric Martina, Sung-Mo Kang High-Performance, Low-Power Skewed Static Logic in Very Deep-Submicron (VDSM) Technology. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
8Kamal S. Khouri, Niraj K. Jha Leakage Power Analysis and Reduction during Behavioral Synthesis. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
5Alireza Shafaei, Massoud Pedram Energy-efficient cache memories using a dual-Vt 4T SRAM cell with read-assist techniques. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
5Hong Zhu 0009, Volkan Kursun 2-Phase high-frequency clock distribution with SPLIT-IO dual-Vt repeaters for suppressed leakage currents. Search on Bibsonomy ISCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
5Na Gong, Jinhui Wang, Ramalingam Sridhar Variation Aware Sleep Vector Selection in Dual Vt Dynamic OR Circuits for Low Leakage Register File Design. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
5S. M. Yasser Sherazi, Joachim Neves Rodrigues, Omer Can Akgun, Henrik Sjƶland, Peter Nilsson 0001 Ultra low energy design exploration of digital decimation filters in 65 nm dual-VT CMOS in the sub-VT domain. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
5Oskar Andersson, Babak Mohammadi, Pascal Meinerzhagen, Andreas Burg, Joachim Neves Rodrigues Dual-VT 4kb sub-VT memories with <1 pW/bit leakage in 65 nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
5Wei Ting Loke, Yajun Ha, Wenfeng Zhao A Power and Cluster-Aware Technology Mapping and Clustering Scheme for Dual-VT FPGAs. Search on Bibsonomy IPDPS Workshops The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
5Wei Ting Loke, Yajun Ha A Routing Architecture for FPGAs with Dual-VT Switch Box and Logic Clusters. Search on Bibsonomy ARC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
5Jinhui Wang, Na Gong, Ligang Hou, Xiaohong Peng, Ramalingam Sridhar, Wuchen Wu Leakage current, active power, and delay analysis of dynamic dual Vt CMOS circuits under P-V-T fluctuations. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
5Junjun Gu, Gang Qu 0001, Lin Yuan, Cheng Zhuo Improving dual Vt technology by simultaneous gate sizing and mechanical stress optimization. Search on Bibsonomy ICCAD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
5Na Gong, Jinhui Wang, Ramalingam Sridhar PVT variations aware optimal sleep vector determination of dual VT domino OR circuits. Search on Bibsonomy SoCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
5Wenbin Liu, Jinhui Wang, Wuchen Wu, Xiaohong Peng, Ligang Hou A study of dual-Vt configurations of an 8T SRAM cell in 45nm. Search on Bibsonomy ASICON The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
5Andrea Calimera, R. Iris Bahar, Enrico Macii, Massimo Poncino Dual-Vt assignment policies in ITD-aware synthesis. Search on Bibsonomy Microelectron. J. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
5Jun Seomun, Seungwhun Paik, Youngsoo Shin Bounded potential slack: enabling time budgeting for dual-Vt allocation of hierarchical design. Search on Bibsonomy ASP-DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
5Junjun Gu, Gang Qu 0001, Lin Yuan Enhancing dual-Vt design with consideration of on-chip temperature variation. Search on Bibsonomy ICCD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
5Keiichiro Hirai, Masaru Kato, Yoshiki Saito, Hideharu Amano Leakage power reduction for coarse-grained dynamically reconfigurable processor arrays using Dual Vt cells. Search on Bibsonomy FPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
5Na Gong, Baozeng Guo, Jianzhong Lou, Jinhui Wang Analysis and optimization of leakage current characteristics in sub-65 nm dual Vt footed domino circuits. Search on Bibsonomy Microelectron. J. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
5Tai-Hsuan Wu, Lin Xie, Azadeh Davoodi A Parallel and Randomized Algorithm for Large-Scale Discrete Dual-Vt Assignment and Continuous Gate Sizing. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
5Sudip Roy 0001, Ajit Pal Why to Use Dual-Vt, If Single-Vt Serves the Purpose Better under Process Parameter Variations? Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
5Tai-Hsuan Wu, Lin Xie, Azadeh Davoodi A parallel and randomized algorithm for large-scale discrete dual-Vt assignment and continuous gate sizing. Search on Bibsonomy ISLPED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
5Andrea Calimera, R. Iris Bahar, Enrico Macii, Massimo Poncino Reducing leakage power by accounting for temperature inversion dependence in dual-Vt synthesized circuits. Search on Bibsonomy ISLPED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
5Sherif A. Tawfik, Volkan Kursun Low power and robust 7T dual-Vt SRAM circuit. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
5Yu Wang 0002, Huazhong Yang, Hui Wang 0004 Signal-Path-Level Dual-VT Assignment for Leakage Power Reduction. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
5Akhilesh Kumar, Mohab Anis Dual-Vt FPGA design for leakage power reduction (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
5Maryam Ashouei, Abhijit Chatterjee, Adit D. Singh, Vivek De A Dual-Vt Layout Approach for Statistical Leakage Variability Minimization in Nanometer CMOS. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
5Peter Hazucha, Tanay Karnik, Steven Walstra, Bradley A. Bloechel, James W. Tschanz, Jose Maiz, Krishnamurthy Soumyanath, Gregory E. Dermer, Siva G. Narendra, Vivek De, Shekhar Borkar Measurements and analysis of SER-tolerant latch in a 90-nm dual-VT CMOS process. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
5Yibin Ye, Muhammad M. Khellah, Dinesh Somasekhar, Ali Farhang, Vivek De A 6-GHz 16-kB L1 cache in a 100-nm dual-VT technology using a bitline leakage reduction (BLR) technique. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
5Peter Hazucha, Tanay Kamik, Steven Walstra, Bradley A. Bloechel, James W. Tschanz, Jose Maiz, Krishnamurthy Soumyanath, Greg Dermer, Siva G. Narendra, Vivek De, Shekhar Borkar Measurements and analysis of SER tolerant latch in a 90 nm dual-Vt CMOS process. Search on Bibsonomy CICC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
5Sriram R. Vangal, Mark A. Anders 0001, Nitin Borkar, Erik Seligman, Venkatesh Govindarajulu, Vasantha Erraguntla, Howard Wilson, Amaresh Pangal, Venkat Veeramachaneni, James W. Tschanz, Yibin Ye, Dinesh Somasekhar, Bradley A. Bloechel, Gregory E. Dermer, Ram K. Krishnamurthy, Krishnamurthy Soumyanath, Sanu Mathew, Siva G. Narendra, Mircea R. Stan, Scott Thompson, Vivek De, Shekhar Borkar 5-GHz 32-bit integer execution core in 130-nm dual-VT CMOS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
5Ali Keshavarzi, Sean Ma, Siva G. Narendra, Brad Bloechel, K. Mistry, Tahir Ghani, Shekhar Borkar, Vivek De Effectiveness of reverse body bias for leakage control in scaled dual Vt CMOS ICs. Search on Bibsonomy ISLPED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
5Seong-Ook Jung, Ki-Wook Kim, Sung-Mo Kang Noise constrained power optimization for dual VT domino logic. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
5Qi Wang, Sarma B. K. Vrudhula Static power optimization of deep submicron CMOS circuits for dual VT technology. Search on Bibsonomy ICCAD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
4David Bol, Denis Flandre, Jean-Didier Legat Technology flavor selection and adaptive techniques for timing-constrained 45nm subthreshold circuits. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF adaptive circuits, subthreshold logic, variability, CMOS digital integrated circuits, ultra-low power
4Bruno Bougard, Bjorn De Sutter, Sebastien Rabou, David Novo, Osman Allam, Steven Dupont, Liesbet Van der Perre A Coarse-Grained Array based Baseband Processor for 100Mbps+ Software Defined Radio. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4Mu-Tien Chang, Po-Tsang Huang, Wei Hwang A robust ultra-low power asynchronous FIFO memory with self-adaptive power control. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4Soheil Ghiasi Efficient Implementation Selection via Time Budgeting Complexity Analysis and Leakage Optimization Case Study. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
4Arkadiy Morgenshtein, Israel Cidon, Ran Ginosar, Avinoam Kolodny Low-leakage repeaters for NoC interconnects. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
4Chris H. Kim, Steven Hsu, Ram Krishnamurthy 0001, Shekhar Borkar, Kaushik Roy 0001 Self Calibrating Circuit Design for Variation Tolerant VLSI Systems. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
4Akihito Sakanaka, Seiichirou Fujii, Toshinori Sato A leakage-energy-reduction technique for highly-associative caches in embedded systems. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cache memories, embedded processors, leakage current
4Seiichiro Fujii, Toshinori Sato Non-uniform Set-Associative Caches for Power-Aware Embedded Processors. Search on Bibsonomy EUC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
4Navid Azizi, Farid N. Najm An Asymmetric SRAM Cell to Lower Gate Leakage. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
4Seoksoo Yoon, Seok-Ryong Yoon, Seon Wook Kim, Chulwoo Kim Charge-Sharing-Problem Reduced Split-Path Domino Logic. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
4Per Larsson-Edefors, Daniel Eckerbert, Henrik Eriksson, Lars J. Svensson Dual Threshold Voltage Circuits in the Presence of Resistive Interconnects. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Dongwoo Lee, David T. Blaauw Static leakage reduction through simultaneous threshold voltage and state assignment. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Jason Stinson, Stefan Rusu A 1.5GHz third generation itaniumĀ® 2 processor. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF on-die cache, reliability, test, design methodology, processor
4James T. Kao, Siva G. Narendra, Anantha P. Chandrakasan Subthreshold leakage modeling and reduction techniques. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
4Michael D. Powell, Se-Hyun Yang, Babak Falsafi, Kaushik Roy 0001, T. N. Vijaykumar Reducing leakage in a high-performance deep-submicron instruction cache. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
4Ashutosh S. Dhodapkar, Chee How Lim, George Cai, W. Robert Daasch TEM2P2EST: A Thermal Enabled Multi-model Power/Performance ESTimator. Search on Bibsonomy PACS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
4Martin Margala Low-Power SRAM Circuit Design. Search on Bibsonomy MTDT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF design, VLSI, low-power, SRAM, low-voltage
4David T. Blaauw, Abhijit Dharchoudhury, Rajendran Panda, Supamas Sirichotiyakul, Chanhee Oh, Tim Edwards Emerging power management tools for processor design. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low power CAD, standby leakage, power distribution
Displaying result #1 - #92 of 92 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license