The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for FDSOI with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1999-2012 (20) 2013-2014 (32) 2015 (42) 2016 (56) 2017 (36) 2018 (46) 2019 (46) 2020 (29) 2021 (35) 2022 (30) 2023 (49) 2024 (5)
Publication types (Num. hits)
article(90) inproceedings(336)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 7 occurrences of 7 keywords

Results
Found 426 publication records. Showing 426 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
78Kwan-Do Kim, Young-Kwan Park, Jun-Ha Lee, Jeong-Taek Kong, Hee-Sung Kang, Young-Wug Kim, Seok-Jin Kim Three Dimensional Analysis of Thermal Degradation Effects in FDSOI MOSFET's. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FDSOI, self-heating, finger type, bar type
66Wai Leng Cheong, Brian E. Owens, Hui En Pham, Christopher Hanken, Jim Le, Terri S. Fiez, Kartikeya Mayaram Comparison of supply noise and substrate noise reduction in SiGe BiCMOS and FDSOI processes. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
48Abhisek Dixit, Anirban Bandhyopadhyay, Nadine Collaert, Kristin De Meyer, Malgorzata Jurczak Measurement and Analysis of Parasitic Capacitance in FinFETs with High-k Dielectrics and Metal-Gate Stack. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Thorlindur Thorolfsson, Kiran Gonsalves, Paul D. Franzon Design automation for a 3DIC FFT processor for synthetic aperture radar: a case study. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FFT, SAR, TSV, 3DIC
24Ming Su, Lili Zhou, C.-J. Richard Shi Maximizing the throughput-area efficiency of fully-parallel low-density parity-check decoding with C-slow retiming and asynchronous deep pipelining. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Lili Zhou, Cherry Wakayama, Robin Panda, Nuttorn Jangkrajarng, Bo Hu, C.-J. Richard Shi Implementing a 2-Gbs 1024-bit 1/2-rate low-density parity-check code decoder in three-dimensional integrated circuits. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Lili Zhou, Cherry Wakayama, Nuttorn Jangkrajarng, Bo Hu, C.-J. Richard Shi A high-throughput low-power fully parallel 1024-bit 1/2-rate low density parity check code decoder in 3-dimensional integrated circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Hamid Karrari, Pietro Andreani, Siyu Tan A 12-bit High-Speed Time-Interleaved Pipelined Asynchronous Successive-Approximation ADC in 22-nm FDSOI CMOS. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
18Mohammad Radpour, Leonid Belostotski Induced Back-Gate Noise in FDSOI MOSFET. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
18Aditya Gupta, Marco Dietz, Andre Engelmann, Amelie Hagelauer Ultra-Low Power 60 GHz Class-C Frequency Tripler in 22-nm FDSOI CMOS Technology. Search on Bibsonomy LASCAS The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
18Nazmus Saquib, Ahmed Elmenshawi, Mona Mostafa Hella A 100 GHz Varactor-less Fundamental VCO With 12% Tuning Range in 22nm FDSOI Technology. Search on Bibsonomy RWS The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
18John Zhong, Konstantinos Vasilakopoulos, Antonio Liscidini 4.5 A Reconfigurable, Multi-Channel Quantized-Analog Transmitter with <-35dB EVM and <-51dBc ACLR in 22nm FDSOI. Search on Bibsonomy ISSCC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
18Martin Lefebvre 0002, Denis Flandre, David Bol A 1.1-/0.9-nA Temperature-Independent 213-/565-ppm/°C Self-Biased CMOS-Only Current Reference in 65-nm Bulk and 22-nm FDSOI. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Hung-Chi Han, Zhixing Zhao, Steffen Lehmann, Edoardo Charbon, Christian C. Enz Novel Approach to FDSOI Threshold Voltage Model Validated at Cryogenic Temperatures. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Albi Mema, Simon Thomann, Paul R. Genssler, Hussam Amrouch FDSOI-Based Analog Computing for Ultra-Efficient Hamming Distance Similarity Calculation. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Maxime Schramme, David Bol UFBBR: A Unified Frequency and Back-Bias Regulation Unit for Ultralow-Power Microcontrollers in 28-nm FDSOI. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Tan Doan Nhut, Domenico Zito A Compact DC-110GHz SPST Switch in 22nm FDSOI CMOS. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Michele Spasaro, Domenico Zito 0.52-mW 30-GHz LNA in 22-nm FDSOI CMOS. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Xuejing Yang, Seungkyeong Lee, Songcheol Hong, Kyounghoon Yang Systematic characterization for RF small-signal parameter extraction of 28 nm FDSOI MOSFETs up to 110 GHz. Search on Bibsonomy Microelectron. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Madhava Sarma Vemuri, Umamaheswara Rao Tida FDSOI Process Based MIV-transistor Utilization for Standard Cell Designs in Monolithic 3D Integration. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Martin Lefebvre 0002, Denis Flandre, David Bol A 1.1- / 0.9-nA Temperature-Independent 213- / 565-ppm/$^\circ$C Self-Biased CMOS-Only Current Reference in 65-nm Bulk and 22-nm FDSOI. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Brunno Alves de Abreu, Albi Mema, Simon Thomann, Guilherme Paim, Paulo F. Flores, Sergio Bampi, Hussam Amrouch Compact CMOS-Compatible Majority Gate Using Body Biasing in FDSOI Technology. Search on Bibsonomy IEEE J. Emerg. Sel. Topics Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Vilem Kledrowetz, Roman Prokop, Lukas Fujcik, Jiri Haze A Fully Differential Analog Front-End for Signal Processing from EMG Sensor in 28 nm FDSOI Technology. Search on Bibsonomy Sensors The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Jelle H. T. Bakker, Mark S. Oude Alink, Jurriaan Schmitz, Bram Nauta Characterisation of Photodiodes in 22 nm FDSOI at 850 nm. Search on Bibsonomy ESSDERC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Sutton Hathorn, Saeed Mohammadi High IIP3 and Low Power Upconversion Mixer Utilizing Backgate Input in 22nm FDSOI. Search on Bibsonomy MWSCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Sujan Chowdhury, Arindom Chakraborty, Upal barna Joy, Abrar Fahim, Muhtasim Alam Chowdhury, Mehedi Hasan A 60 GHz and 2.08 mW Active Quasi-Circulator in 22 nm FDSOI Technology. Search on Bibsonomy MWSCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Jens Karrenbauer, Sven Schönewald, Simon C. Klein, Meinolf Blawat, Jens Benndorf, Holger Blume A High-Performance, Low Power Research Hearing Aid featuring a High-Level Programmable Custom 22nm FDSOI SoC. Search on Bibsonomy EMBC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Cleiton Magano Marques, Leonardo Heitich Brendler, Frédéric Wrobel, Alexandra L. Zimpeck, Walter E. Calienes Bartra, Paulo F. Butzen, Cristina Meinhardt A Detailed Electrical Analysis of SEE on 28 nm FDSOI SRAM Architectures. Search on Bibsonomy SBCCI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Domenico Zito, Tan Doan Nhut A Compact TIA in 22nm FDSOI CMOS for Qubit Readout in Monolithic Quantum Processors. Search on Bibsonomy ICECS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Sandra George, Mengqi Cui, Padmanava Sen A 24-28 GHz Tunable LNA in 22nm FDSOI Technology. Search on Bibsonomy ICECS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Lawrence P. Grana, Jefferson A. Hora Low-Quiescent and Reduced-Power Zero-Current Detector for a DC-DC Switched-Mode Converter Implemented in 22nm FDSOI. Search on Bibsonomy TENCON The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Marc Macbeth M. Toledo, Jefferson A. Hora Low Power Gate Voltage Controlled Schmitt Trigger with Adjustable Hysteresis and 0.1Vth Margin in 22nm FDSOI. Search on Bibsonomy TENCON The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Robert M. Comaling, Mike Martin C. Diangco, Jefferson A. Hora 22nm FDSOI Forward Body Biasing in Designing Ultra-Low Power, High PSRR Voltage Reference for IoT Power Management Applications. Search on Bibsonomy TENCON The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Sriram Balamurali, Giovanni Mangraviti, Zhiwei Zhong, Piet Wambacq, Jan Craninckx A 13-16 GHz Low-Noise Oscillator with Enhanced Tank Energy in 22-nm FDSOI. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Yue Liang, Qin Chen, Xuexue Zhang, Xu Wu, Xiangning Fan, Lianming Li A Compact 240 GHz Differential Fundamental Oscillator with -94.2dBc/Hz Phase Noise and 5.4% DC-to-RF Efficiency in 22nm FDSOI. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Urs Hecht, Helia Ordouei, Nikolay Ledentsov Jr., Philipp Scholz, Patrick Kurth, Nikolay N. Ledentsov, Friedel Gerfers True Voltage-Mode NRZ VCSEL Transmitter enabling 60 Gbit/s at 0.37 pJ/bit in 22 nm FDSOI. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Jonathan Ungethüm, Michael Pietzko, Ahmed Abdelaal, John G. Kauffman, Maurits Ortmanns A Chopped 6-bit 1.6 GS/s SAR ADC Utilizing Slow Decision Information in 22 nm FDSOI. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Elbert Bechthum, Erwin Allebes, Paul Mateman, Yiyu Shen, Peter Vis, Yao-Hong Liu, Christian Bachmann A 380μW IEEE 802.15.4z IR-UWB pulse-mixing transmitter featuring enable-locking RFDCO with extensive duty-cycling in 22nm FDSOI. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Liyuan Guo, Matthias Jobst, Johannes Partzsch, Stefan Scholze, Andreas Dixius, Matthias Lohrmann, Seyed Mohammad Ali Zeinolabedin, Christian Mayr 0001 A Low-Power Hardware Accelerator of MFCC Extraction for Keyword Spotting in 22nm FDSOI. Search on Bibsonomy AICAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Jianan Zhao 0012, Sorin P. Voinigescu An 80-GBaud PAM-4 $G_{\mathrm{m}}$ -Boosted Variable-Gain TIA in 22-nm FDSOI. Search on Bibsonomy BCICTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Alessandro Novello, Gabriele Atzeni, Tim Keller, Taekwang Jang A 4.1W/mm² Peak Power Density and 77% Peak Efficiency Fully Integrated DC-DC Converter based on Electromagnetically Coupled Class-D LC Oscillators and a Resonant LC Flying Impedance in 22nm FDSOI CMOS. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Yuqing Mao, Yoann Charlon, Yves Leduc, Gilles Jacquemod New design of an ultra low power CDR architecture using FDSOI 28 nm technology. Search on Bibsonomy NEWCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Liyuan Guo, Seyed Mohammad Ali Zeinolabedin, Franz Marcus Schüffny, Annika Weiße, Stefan Scholze, Richard George 0001, Johannes Partzsch, Christian Mayr 0001 A 16-channel Real-time Adaptive Neural Signal Compression Engine in 22nm FDSOI. Search on Bibsonomy NEWCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Franz Marcus Schüffny, Stefan Hänzsche, Stephan Henker, Seyed Mohammad Ali Zeinolabedin, Stefan Scholze, Sebastian Höppner, Richard Miru George, Christian Mayr 0001 A 3.3V Saturation-Aware Neurostimulator with Reset Functionality in 22 nm FDSOI. Search on Bibsonomy NEWCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Alexander Bleitner, Jacob Göppert, Matthias Kuhl, Yiannos Manoli A Novel 130.1 pJ/Decision Binary Tree Ensemble Classifier for an Energy Efficient Atrial Fibrillation Detecting ECG Processing System in 22 nm FDSOI. Search on Bibsonomy BioCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Dai Zhang, Dima Kilani, Emily Slous, Martin Mallinson A 700-nA Tunneling Current-Based Amplifier in 22-nm FDSOI. Search on Bibsonomy BioCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Hamid Karrari, Pietro Andreani, Siyu Tan A 1.4 GS/s TI Pipelined-SAR analog-to-digital converter in 22-nm FDSOI CMOS. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Kimi Jokiniemi, Kaisa Ryynänen, Joni Vähä, Elmo Kankkunen, Kari Stadius, Jussi Ryynänen Active Wideband 55-100-GHz Downconversion Mixer in 22-nm FDSOI CMOS. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Nazmus Saquib, Ahmed Elmenshawi, Mona Mostafa Hella A mmWave Transformer Based VCO-Divider for Wideband Frequency Synthesizers in 22nm FDSOI. Search on Bibsonomy RWS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Mohammad Ghaedi Bardeh, Navid Naseh, Jierui Fu, Jeyanandh Paramesh, Kamran Entesari A mm-wave RC PPF Quadrature Network with Gain Boosting in 22nm CMOS FDSOI. Search on Bibsonomy RWS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Yasir Shafiullah, Mikko Hietanen, Marko E. Leinonen, Rehman Akbar, Janne Aikio, Jere Rusanen, Timo Rahkonen, Aarno Pärssinen A Low-Power Push-Push D-Band VCO with 11.6% FTR utilizing Back-gate Control in 22nm FDSOI. Search on Bibsonomy RWS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Sepideh Nouri, Subramanian S. Iyer An 8T eNVSRAM Macro in 22nm FDSOI Standard Logic with Simultaneous Full-Array Data Restore for Secure IoT Devices. Search on Bibsonomy ISSCC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Christian Lanius, Florian Freye, Shutao Zhang, Tobias Gemmeke Hardware Trojans in fdSOI. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Om Prakash 0007, Rodion Novkin, Virinchi Roy Surabhi, Prashanth Krishnamurthy, Ramesh Karri, Farshad Khorrami, Hussam Amrouch Comprehensive Reliability Analysis of 22nm FDSOI SRAM from Device Physics to Deep Learning. Search on Bibsonomy ISCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Harshitha Basavaraju, David Borggreve, Frank Vanselow, Erkan Nevzat Isa, Linus Maurer A 0.8-V Fully Differential Amplifier with 80-dB DC Gain and 8-GHz GBW in 22-nm FDSOI CMOS Technology. Search on Bibsonomy ISCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Madhava Sarma Vemuri, Umamaheswara Rao Tida FDSOI Process Based MIV-transistor Utilization for Standard Cell Designs in Monolithic 3D Integration. Search on Bibsonomy SOCC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Francis Roi Manabat, Jom Harvy P. Dayondon, Johnter Clint R. Fernandez, Kriz Kevin Adrivan, Jefferson A. Hora A Design of High Efficiency Non-Time Division Multiplexing Battery-less and Self-Powered Multi-Input Single-Inductor Single-Output Using 22nm FDSOI Technology. Search on Bibsonomy ISCIT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Mike Martin C. Diangco, Jefferson A. Hora, Xi Zhu 0001 83.17% Power Conversion Efficiency, 13.5 dB Power Dynamic Range Rectifier for RF Energy Harvesting Applications in 22nm FDSOI Technology. Search on Bibsonomy ISCIT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Max Doblas, Gerard Candón, Xavier Carril, Marc Domínguez, Enric Erra, Alberto González 0004, César Hernández, Víctor Jiménez, Vatistas Kostalampros, Rubén Langarita, Neiel Leyva, Guillem López-Paradís, Jonnatan Mendoza, Josep Oltra, Julián Pavón, Cristóbal Ramírez, Narcís Rodas, Enrico Reggiani, Mario Rodríguez, Carlos Rojas, Abraham Ruiz, Hugo Safadi, Víctor Soria 0001, Alejandro Suanes, Iván Vargas, Fernando Arreza, Roger Figueras, Pau Fontova-Musté, Joan Marimon, Ricardo Martínez, Sergio Moreno, Jordi Sacristán, Oscar Alonso, Xavier Aragonès, Adrián Cristal, Ángel Diéguez, Manuel López, Diego Mateo, Francesc Moll, Miquel Moretó, Oscar Palomar, Marco A. Ramírez, Francisco Serra-Graells, Nehir Sönmez, Lluís Terés, Osman S. Unsal, Mateo Valero, Luis Villa Sargantana: An Academic SoC RISC-V Processor in 22nm FDSOI Technology. Search on Bibsonomy DCIS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18A. R. Cabrera-Galicia, A. Ashok, Patrick Vliex, Andre Kruth, Andre Zambanini, Stefan van Waasen A Cryogenic Voltage Regulator with Integrated Voltage Reference in 22 nm FDSOI Technology. Search on Bibsonomy APCCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Mahipal Dargupally, Lomash Chandra Acharya, Khoirom Johnson Singh, Neha Gupta, Arvind K. Sharma, Sudeb Dasgupta, Anand Bulusu An Efficient Standard Cell Design Methodology by Exploiting Body Biasing and Poly Biasing in FDSOI for NTV Regime. Search on Bibsonomy APCCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Anoop Narayan Bhat, Ronan A. R. van der Zee, Bram Nauta A 22-nm FDSOI CMOS Low-Noise Active Balun Achieving p-p Output Swing Over 0.01-5.4-GHz for Direct RF Sampling Applications. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Kenneth Palma, Francesc Moll Current Balancing Random Body Bias in FDSOI Cryptosystems as a Countermeasure to Leakage Power Analysis Attacks. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Maxime Schramme, Léopold Van Brandt, Denis Flandre, David Bol Comprehensive Analytical Comparison of Ring Oscillators in FDSOI Technology: Current Starving Versus Back-Bias Control. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Franz Marcus Schüffny, Sebastian Höppner, Stefan Hänzsche, Richard Miru George, Seyed Mohammad Ali Zeinolabedin, Christian Mayr 0001 An Ultra-Low Area Digital-Assisted Neuro Recording System in 22nm FDSOI Technology. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Xiaohua Huang, Marco Ballini, Shiwei Wang 0001, Beatrice Miccoli, Chris Van Hoof, Georges G. E. Gielen, Jan Craninckx, Nick Van Helleputte, Carolina Mora Lopez A Compact, Low-Power Analog Front-End With Event-Driven Input Biasing for High-Density Neural Recording in 22-nm FDSOI. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Seyed Mohammad Ali Zeinolabedin, Franz Marcus Schüffny, Richard George 0001, Florian Kelber, Heiner Bauer, Stefan Scholze, Stefan Hänzsche, Marco Stolba, Andreas Dixius, Georg Ellguth, Dennis Walter, Sebastian Höppner, Christian Mayr 0001 A 16-Channel Fully Configurable Neural SoC With 1.52 $\mu$W/Ch Signal Acquisition, 2.79 $\mu$W/Ch Real-Time Spike Classifier, and 1.79 TOPS/W Deep Neural Network Accelerator in 22 nm FDSOI. Search on Bibsonomy IEEE Trans. Biomed. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Rameez Raja Shaik, Chandrasekar Lakshumanan, Jean-Pierre Raskin, Kumar Prasannajit Pradhan Back-gate bias effect on the linearity of pocket doped FDSOI MOSFET. Search on Bibsonomy Microelectron. J. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Hung-Chi Han, Farzan Jazaeri, Antonio A. D'Amico, Zhixing Zhao, Steffen Lehmann, Claudia Kretzschmar, Edoardo Charbon, Christian C. Enz Cryogenic RF Characterization and Simple Modeling of a 22 nm FDSOI Technology. Search on Bibsonomy ESSDERC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Franz Marcus Schüffny, Sebastian Höppner, Seyed Mohammad Ali Zeinolabedin, Richard Miru George, Christian Mayr 0001 How to design an input stage for neural recording system in 22 nm FDSOI. Search on Bibsonomy PRIME The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Mohammed Helal, Ahmed Elanwar, Ahmed Emira, Faisal Hussien, Mohamed Elghonemy, Ahmet Tekin A 30 GHz 8-Bit Phased Array Transmitter for Satellite Communication in 22nm FDSOI. Search on Bibsonomy MWSCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Mattis Hasler, Sebastian Haas, Robert Wittig, Stefan Scholze, Andreas Dixius, Sebastian Höppner, Gerhard P. Fettweis, Christian Mayr 0001 A Random Linear Network Coding Platform MPSoC Designed in 22nm FDSOI. Search on Bibsonomy ISVLSI The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Yaru Ding, Wei Liu, Yiming Qu, Liang Zhao, Yi Zhao Degradation Behaviors of 22 nm FDSOI CMOS Inverter Under Gigahertz AC Stress. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Nitanshu Chauhan, Chirag Garg, Kai Ni 0004, Amit Kumar Behera, Sarita Yadav, Shashank Banchhor, Navjeet Bagga, Avirup Dasgupta, Arnab Datta, Sudeb Dasgupta, Anand Bulusu Impact of Random Spatial Fluctuation in Non-Uniform Crystalline Phases on Multidomain MFIM Capacitor and Negative Capacitance FDSOI. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Md. Sazzad Hossain, Mateus Bernardino Moreira, Francois Sandrez, Francois Rivet, Hervé Lapuyade, Yann Deval Low Power Frequency Dividers using TSPC logic in 28nm FDSOI Technology. Search on Bibsonomy LASCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Piyush Kumar, Dario Stajic, Erkan Nevzat Isa, Linus Maurer 26 GHz VCO in 22 nm FDSOI Technology for RADAR Application. Search on Bibsonomy LASCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Rémi Quéheille, Maxandre Fellmann, Yann Deval, Eric Kerhervé, François Rivet, Nathalie Deltimple A PAE-Controlled Wideband Power Amplifier for Sub-6GHz 5G Applications in 28nm FDSOI Technology. Search on Bibsonomy ICECS 2022 The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Leila Sharara, Seyedeh Masoumeh Navidi, Hamza Al Maharmeh, Samad Parekh, Ali Wehbi, Mohammad Alhawari, Mohammed Ismail 0001 Analysis and Effects of Aging and Electromigration on Mixed-Signal ICs in 22nm FDSOI Technology. Search on Bibsonomy ICECS 2022 The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Martin Lefebvre 0002, Denis Flandre, David Bol A 0.9-nA Temperature-Independent 565-ppm/°C Self-Biased Current Reference in 22-nm FDSOI. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Sarthak Sharma, Hao Gao 0001, Gernot Hueber, Andrea Mazzanti A Magnetically Coupled Dual-Core 154-GHz Class-F Oscillator with -177.1 FoM and -87 dBc/Hz PN at 1-MHz Offset in a 22-nm FDSOI with Third-Harmonic Extraction. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Naveed 0002, Jeff Dix Comparison of Two RF Rectifiers Designed in FDSOI 22nm for RF Energy Harvesting. Search on Bibsonomy NEWCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Baktash Behmanesh, Joachim Rodrigues, Henrik Sjöland An 88% fractional bandwidth reconfigurable power amplifier for NB-IoT and LTE-M in 22 nm CMOS FDSOI. Search on Bibsonomy NorCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Marcel Runge, Julius Edler, Dario Schmock, Tobias Kaiser, Friedel Gerfers A 30-MHz BW 74.6-dB SNDR 92-dB SFDR CT ΔΣ Modulator with Active Body-Bias DAC Calibration in 22nm FDSOI CMOS. Search on Bibsonomy CICC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Xiaohua Huang, Horacio Londoño-Ramírez, Marco Ballini, Chris Van Hoof, Jan Genoe, Sebastian Haesler, Georges G. E. Gielen, Nick Van Helleputte, Carolina Mora Lopez A 256-Channel Actively-Multiplexed µECoG Implant with Column-Parallel Incremental ΔΣ ADCs Employing Bulk-DACs in 22-nm FDSOI Technology. Search on Bibsonomy ISSCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Harijot Singh Bindra, Jeroen Ponte, Bram Nauta A 174μVRMS Input Noise, 1 G8/s Comparator in 22nm FDSOI with a Dynamic-Bias Preamplifier Using Tail Charge Pump and Capacitive Neutralization Across the Latch. Search on Bibsonomy ISSCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Shubham Kumar, Swetaki Chatterjee, Chetan Kumar Dabhi, Hussam Amrouch, Yogesh Singh Chauhan Novel FDSOI-based Dynamic XNOR Logic for Ultra-Dense Highly-Efficient Computing. Search on Bibsonomy ISCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Luchang Ding, Chang Cai, Gengsheng Chen, Zehao Wu, Jing Zhang, Chang Wu, Jun Yu 0010 Characterization of Single Event Upsets of Nanoscale FDSOI Circuits Based on the Simulation and Irradiation Results. Search on Bibsonomy ISCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Hossein Eslahi, Tara J. Hamilton, Sourabh Khandelwal Ultra Compact and Linear 4-bit Digital-to-Analog Converter in 22nm FDSOI Technology. Search on Bibsonomy ISCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Hung-Chi Han, Antonio A. D'Amico, Christian C. Enz Comprehensive Design-oriented FDSOI EKV Model. Search on Bibsonomy MIXDES The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Yongliang Zhou, Zuo Cheng, Han Liu, Tianzhu Xiong, Bo Wang A 22-nm FDSOI 8T SRAM Based Time-Domain CIM for Energy-Efficient DNN Accelerators. Search on Bibsonomy APCCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Ömer T. Keles, Metin Yazgi Design of an Opamp-RC Lowpass Filter in 22nm FDSOI Technology. Search on Bibsonomy SIU The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18David Bol, Maxime Schramme, Ludovic Moreau, Pengcheng Xu 0002, Rémi Dekimpe, Roghayeh Saeidi, Thomas Haine, Charlotte Frenkel, Denis Flandre SleepRunner: A 28-nm FDSOI ULP Cortex-M0 MCU With ULL SRAM and UFBR PVT Compensation for 2.6-3.6-μW/DMIPS 40-80-MHz Active Mode and 131-nW/kB Fully Retentive Deep-Sleep Mode. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Kenneth Palma, Francesc Moll Analysis of Random Body Bias Application in FDSOI Cryptosystems as a Countermeasure to Leakage-Based Power Analysis Attacks. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18M. Sultan M. Siddiqui, Zhao Chuan Lee, Tony Tae-Hyoung Kim A 16-kb 9T Ultralow-Voltage SRAM With Column-Based Split Cell-VSS, Data-Aware Write-Assist, and Enhanced Read Sensing Margin in 28-nm FDSOI. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Firat Celik, Ayca Akkaya, Armin Tajalli, Yusuf Leblebici A 32-Gb/s PAM-4 SST Transmitter With Four-Tap FFE Using High-Impedance Driver in 28-nm FDSOI. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Arianna Rubino, Can Livanelioglu, Ning Qiao, Melika Payvand, Giacomo Indiveri Ultra-Low-Power FDSOI Neural Circuits for Extreme-Edge Neuromorphic Intelligence. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Ayca Akkaya, Firat Celik, Yusuf Leblebici An 8-Bit 800 MS/s Loop-Unrolled SAR ADC With Common-Mode Adaptive Background Offset Calibration in 28 nm FDSOI. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Andres Asprilla, David Cordova, Yann Deval, Hervé Lapuyade, François Rivet 28nm FDSOI Ultra Low Power 1.5-2.0 GHz Factorial-DLL Frequency Synthesizer. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Shuting Shi, Rui Chen, Rui Liu 0011, Mo Chen, Chen Shen, Xuantian Li, Haonan Tian, Li Chen 0001 Single Event Upset Evaluation for a 28-nm FDSOI SRAM Type Buffer in an ARM Processor. Search on Bibsonomy J. Electron. Test. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Firat Celik, Ayca Akkaya, Yusuf Leblebici A 32 Gb/s PAM-16 TX and ADC-Based RX AFE with 2-tap embedded analog FFE in 28 nm FDSOI. Search on Bibsonomy Microelectron. J. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 426 (100 per page; Change: )
Pages: [1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license