The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Module with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1964-1972 (15) 1973-1976 (16) 1977-1978 (25) 1979-1980 (22) 1981 (18) 1982 (17) 1983 (20) 1984 (16) 1985 (29) 1986 (36) 1987 (42) 1988 (68) 1989 (75) 1990 (86) 1991 (86) 1992 (87) 1993 (100) 1994 (122) 1995 (130) 1996 (149) 1997 (181) 1998 (152) 1999 (259) 2000 (285) 2001 (296) 2002 (375) 2003 (407) 2004 (574) 2005 (669) 2006 (833) 2007 (887) 2008 (910) 2009 (598) 2010 (235) 2011 (233) 2012 (246) 2013 (263) 2014 (256) 2015 (262) 2016 (272) 2017 (334) 2018 (395) 2019 (472) 2020 (545) 2021 (598) 2022 (686) 2023 (747) 2024 (175)
Publication types (Num. hits)
article(4239) book(5) data(6) incollection(72) inproceedings(8923) phdthesis(59)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 7274 occurrences of 3849 keywords

Results
Found 13304 publication records. Showing 13304 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
55Ryszard Janicki, Emil Sekerinski Foundations of the Trace Assertion Method of Module Interface Specification. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Module interface specifications, trace assertion method, module refinement, tabular notation, state machines, nondeterminism, relational model, Mealy machines, step-sequences
51Leon Alkalai, Wai-Chi Fang An integrated microspacecraft avionics architecture using 3D multichip module building blocks. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF integrated microspacecraft avionics architecture, 3D multichip module building blocks, continued miniaturization, spacecraft electronics, building-block elements, stackable multichip module 3D-architecture, module testing, spacecraft avionics system, Deep-Space One asteroid-flyby mission, Jet propulsion Laboratory, operating systems, software development environments, system reliability, avionics, avionics system
51Yashwant K. Malaiya, Jason Denton Module Size Distribution and Defect Density. Search on Bibsonomy ISSRE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Module size, module size Distribution, reliability, defect density
50Chantana Chantrapornchai, Edwin Hsing-Mean Sha, Xiaobo Hu 0001 Efficient algorithms for acceptable design exploration. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF acceptable designs, inclusion scheduling, module utility, design exploration, module selections
47Franck Xia Module Coupling: A Design Metric. Search on Bibsonomy APSEC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF module coupling, inter-module connection complexity, data complexity method, program logic control, software metrics, software design, theoretical model, design metric
47Neelam Gupta, Praveen Rao 0001 Program Execution-Based Module Cohesion Measurement. Search on Bibsonomy ASE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF module cohesion, software maintenance, software metrics, software restructuring
47Maria-Virginia Aponte, Roberto Di Cosmo Type Isomorphisms for Module Signatures. Search on Bibsonomy PLILP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF typing and structuring systems, retrieval of functions in function libraries, programming environments, ML, module systems
47Manuel Clavel, Francisco Durán 0001, Steven Eker, Patrick Lincoln, Narciso Martí-Oliet, José Meseguer 0001, Carolyn L. Talcott Module Operations. Search on Bibsonomy All About Maude The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Calin Ciufudean, Constantin Filote Holonic Models for Traffic Control Systems. Search on Bibsonomy HoloMAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF planning module, priority module, control module, railway traffic, traffic jam, Petri nets
47Paolo Gamba, Massimilano Lilla, Alessandro Mecocci Extraction of Discontinuous Chains of Symbols by Means of Perceptual Grouping. Search on Bibsonomy ICIP (2) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF discontinuous symbol chains extraction, artificial intelligence kernel, search strategy generation module, pixels scanning, symbol detection module, cost function evaluation module, global quality index, Gestalt rules, grouping procedures optimisation, algorithm, geographic information systems, geographic information system, perceptual grouping, digitized maps
47Thien M. Ha, D. Niggeler, Horst Bunke A system for segmenting and recognising totally unconstrained handwritten numeral strings. Search on Bibsonomy ICDAR The full citation details ... 1995 DBLP  DOI  BibTeX  RDF totally unconstrained handwritten numeral strings recognition, totally unconstrained handwritten numeral strings segmentation, presegmentation module, isolated numeral recognizer, segmentation-free module, merging module, dummy symbol, CEDAR database, image recognition
47Suresh B. Marapane, Mohan M. Trivedi Multi-Primitive Hierarchical (MPH) Stereo Analysis. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF multi-primitive hierarchical stereo analysis, hierarchical control strategy, region-based analysis module, linear edge segment-based analysis module, edgel-based stereo analysis module, multiple abstraction, image segmentation, edge detection, stereo image processing, hierarchical systems, image regions, disparity maps
44Yuming Zhou, Baowen Xu Extracting Objects of Ada Programs Using Module Features. Search on Bibsonomy ICSM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Ada 83, module cohesion, module coupling, object-oriented reengineering, inheritance, Ada 95, object extraction
43Paul Doran, Valentina A. M. Tamma, Luigi Iannone Ontology module extraction for ontology reuse: an ontology engineering perspective. Search on Bibsonomy CIKM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF ontology module extraction, ontology engineering
43Taghi M. Khoshgoftaar, Erik Geleyn, Kehan Gao An Empirical Study of the Impact of Count Models Predictions on Module-Order Models. Search on Bibsonomy IEEE METRICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF module-order modeling, count models, ZIP, software metrics, Software reliability, Poisson
43Hartmut Ehrig, Werner Fey, Horst Hansen, Michael Löwe, Dean Jacobs Algebraic Software Development Concepts for Module and Configuration Families. Search on Bibsonomy FSTTCS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF module families, configuration families, formal specification development, software engineering, modules, algebraic specifications
43Sangkyune Kim, Jaehyung Park Energy-Efficient Module applied with Run Length Coding for Wireless Sensor Networks. Search on Bibsonomy ICNSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
43Gengxin Miao, Yupin Luo, Qiming Tian, Jingxin Tang A Filter Module Used in Pedestrian Detection System. Search on Bibsonomy AIAI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
43Chantana Chantrapornchai, Edwin Hsing-Mean Sha, Xiaobo Sharon Hu Efficient design exploration based on module utility selection. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
43Derya Keskin Tütüncü On Non-M-Cosingular Completely (+)-Supplemented Modules. Search on Bibsonomy Appl. Categorical Struct. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF pseudo projective module, non-M-cosingular module, completely ?-supplemented module
40Jin-Hua Hong, Chung-Hung Tsai, Cheng-Wen Wu Hierarchical Testing Using the IEEE Std 1149.5 Module Test and Maintenance Slave Interface Module. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF MTM Bus, Boundary Scan, Hierarchical Testing
40Fredrik Warg, Per Stenström Improving Speculative Thread-Level Parallelism Through Module Run-Length Prediction. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF module-level parallelism, module run-length prediction, performance evaluation, Multiprocessors, thread-level speculation
40Atanas Radenski Module embedding. Search on Bibsonomy Softw. Concepts Tools The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Module embedding, Object-oriented programming, Reuse, Inheritance, Extensibility, Module, Code reuse
40R. Paul Wiegand, Gautham Anil, Ivan I. Garibay, Ozlem O. Garibay, Annie S. Wu On the performance effects of unbiased module encapsulation. Search on Bibsonomy GECCO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF module encapsulation, search space bias, runtime analysis
40Yasutaka Kamei, Akito Monden, Shuji Morisaki, Ken-ichi Matsumoto A hybrid faulty module prediction using association rule mining and logistic regression analysis. Search on Bibsonomy ESEM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF fault-prone module prediction, logistic regression analysis, empirical study, association rule mining
40John Moses A Consideration of the Impact of Interactions with Module Effects on the Direct Measurement of Subjective Software Attributes. Search on Bibsonomy IEEE METRICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF expert agreement, ordinal scale, 3-D multinomial models, interactions with module length, Bayesian inference, maintainability, cohesion, consistent measurement
40Vinciane Lacroix A Three-Module Strategy for Edge Detection. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF three-module strategy, nonmaximum-deletion algorithm, learning edges, binary edge images, parallel process, parallel processing, edge detection, computerised picture processing, computerised pattern recognition, modules, contextual information, gray-level images, computerized pattern recognition, computerized picture processing, contour following, sequential process
39Jianfeng Du, Guilin Qi, Qiu Ji Goal-Directed Module Extraction for Explaining OWL DL Entailments. Search on Bibsonomy ISWC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
39Minoru Motoki, Yoichi Tomiura, Naoto Takahashi Problems of FGREP Module and Their Solution. Search on Bibsonomy IEEE ICCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
39Karl Crary, Robert Harper 0001, Sidd Puri What is a Recursive Module? Search on Bibsonomy PLDI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
39Stefan Nörtemann The Hahn-Banach Theorem for Partially Ordered Totally Convex, Positively Convex and Superconvex Modules. Search on Bibsonomy Appl. Categorical Struct. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Hahn-Banach Theorem, partially ordered totally convex module, partially ordered positively convex module, partially ordered superconvex module
39Xiaozhuo Gu, Yufeng Li, Jianzu Yang, Julong Lan Hardware-and-Software-Based Security Architecture for Broadband Router (Short Paper). Search on Bibsonomy ICICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF IP security (IPsec), Security module, IPsec module, Security architecture
39Peter Wegner Granularity of modules in object-based concurrent systems. Search on Bibsonomy OOPSLA/ECOOP Workshop on Object-based Concurrent Programming The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
36David Kyle, José Carlos Brustoloni Uclinux: a linux security module for trusted-computing-based usage controls enforcement. Search on Bibsonomy STC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF LSM, ODRL, UCLinux, encrypted file system, linux security module, open digital rights language, trusted computing group, linux, trusted computing, usage controls, TPM, trusted platform module, TCG
36Chauchin Su, Yue-Tsang Chen, Shyh-Jye Jou, Yuan-Tzu Ting Metrology for analog module testing using analog testability bus. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF analog module, analog module testing, multiple instantiation, test response analysis, test waveform, testability bus, design for testability
36Michael D. Rice, Stephen B. Seidman A Formal Model for Module Interconnection Languages. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF module interconnection languages, hierarchical module structure design, Z schema type definitions, generic parameters, application-specific declarations, STILE, graphical design environment, formal specification, constraints, programming environments, specification languages, specification language, systems analysis, formal model, development environment, Conic, configuration language
36Hong Min, Junyoung Heo, Yookun Cho, Kahyun Lee, Jaegi Son, Byunghun Song A Module Management Scheme for Dynamic Reconfiguration. Search on Bibsonomy ICCSA (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Module Management, Wireless Sensor Networks, Operating Systems, Dynamic Reconfiguration
36Ruo Ando, Youki Kadobayashi, Yoichi Shinoda Asynchronous Pseudo Physical Memory Snapshot and Forensics on Paravirtualized VMM Using Split Kernel Module. Search on Bibsonomy ICISC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Asynchronous snapshot, paravirtualized VMM, memory forensics, virtualized interruption, split kernel module
36Fredrik Warg, Per Stenström Reducing misspeculation overhead for module-level speculative execution. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF misspeculation prediction, module-level parallelism, performance evaluation, chip multiprocessors, thread-level speculation
36Jianhui Li, Peng Zhang, Orna Etzion Module-aware translation for real-life desktop applications. Search on Bibsonomy VEE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dynamic loaded module, translation reuse, memory management, dynamic binary translation
36Kiarash Mahdavi, Mark Harman, Robert M. Hierons A Multiple Hill Climbing Approach to Software Module Clustering. Search on Bibsonomy ICSM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Module clustering, Hill climbing, Search based software engineering
36Sudhir K. Jhajharia, Hua Swee Wang Training diploma students on ATE-related module. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF electronic equipment testing, tertiary institution, ATE-related module, diploma students, final year students, Microelectronics option, Electronics and Communication Engineering Department, Singapore Polytechnic, Singapore Polytechnic Education Model, automated test equipment, Advanced Diploma, practical training, laboratory session, training, integrated circuit testing, assessment, teaching, teaching, automatic testing, automatic test equipment, test patterns, printed circuit boards, educational courses, printed circuit testing, industry-standard, electronic engineering education
36Oskar Mencer PAM-Blox II: Design and Evaluation of C++ Module Generation for Computing with FPGAs. Search on Bibsonomy FCCM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36Sriram K. Rajamani, Jakob Rehof A Behavioral Module System for the Pi-Calculus. Search on Bibsonomy SAS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
36Jin-Hua Hong, Chung-Hung Tsai, Cheng-Wen Wu Hierarchical system test by an IEEE 1149.5 MTM-bus slave-module interface core. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
36Chantana Chantrapornchai, Edwin Hsing-Mean Sha, Xiaobo Sharon Hu Efficient Algorithms for Finding Highly Acceptable Designs Based on Module-Utility Selections. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
36Yiorgos Makris, Alex Orailoglu A Module Diagnosis and Design-for-Debug Methodology Based on Hierarchical Test Paths. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
36Orna Kupferman, Moshe Y. Vardi Module Checking Revisited. Search on Bibsonomy CAV The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
36C. P. Ravikumar, Mukul R. Prasad, Lavmeet S. Hora Estimation of Power from Module-level Netlists. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
36I. Vandeweerd, Kris Croes, Luc Rijnders, Paul Six, Hugo De Man REDUSA: module generation by automatic elimination of superfluous blocks in regular structures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
35Noritaka Shigei, Hiromi Miyajima, Shinya Nagamine A Proposal of Fuzzy Inference Model Composed of Small-Number-of-Input Rule Modules. Search on Bibsonomy ISNN (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Fuzzy reasoning model, Single-input rule module, Small-number-of-input rule module, A large number of input variables
35Mahesh Mehendale, M. K. Ram Prasad AATMA: an algorithm for technology mapping for antifuse-based FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF AATMA, antifuse-based FPGAs, logic module structure, complex functions, signature-matching based approach, mapping quality, logic module architectures, field programmable gate arrays, directed graphs, combinational circuits, logic CAD, technology mapping, execution times
35Wesley W. Chu, Chi-Man Sit, Kin K. Leung Task Response Time For Real-Time Distributed Systems With Resource Contentions. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF task response times, extended queuing network model, module response times, weighted control-flow graph model, task response time, invocation rates, module assignments, real-time systems, computational complexity, computational complexity, graph theory, resource allocation, distributed processing, queueing theory, analytic model, simulation results, interprocessor communications, scheduling policies, resource contentions, decomposition technique, real-time distributed systems, submodels, distributed processing systems
35In-Kwon Park, Jung-Hyun Kim 0006, Kwang-Seok Hong An implementation of an FPGA-based embedded gesture recognizer using a data glove. Search on Bibsonomy ICUIMC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, VHDL, gesture recognition
35Rémy Haemmerlé, François Fages Modules for Prolog Revisited. Search on Bibsonomy ICLP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Theo A. Knijnenburg, Marcel J. T. Reinders, Jean-Marc Daran, Pascale A. S. Daran-Lapujade, Lodewyk F. A. Wessels Relating transcription factors, modules of genes and cultivation conditions in Saccharomyces cerevisiae. Search on Bibsonomy CSB Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Sudipta Bhawmik, Indradeep Ghosh A Practical Method for Selecting Partial Scan Flip-flops for Large Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
32Shigetoshi Nakatake, Kunihiro Fujiyoshi, Hiroshi Murata, Yoji Kajitani Module placement on BSG-structure and IC layout applications. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF rectilinear chip, L-shaped module, module placement, IC layout
32Liqiang Wang, Yan Shi, Zukang Lu, Huilong Duan Miniaturized CMOS Imaging Module with Real-time DSP Technology for Endoscope and Laryngoscope Applications. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CMOS imaging module, Blackfin DSP, Minimally invasive instruments, Real-time video processing, Miniature
32Rok Strnisa, Peter Sewell, Matthew J. Parkinson The java module system: core design and semantic definition. Search on Bibsonomy OOPSLA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF LJAM, superpackage, java, module, JAM
32Joerg Abke, Erich Barke, Jörn Stohmann A Universal Module Generator for LUT-Based FPGAs. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Multiplexor, Multiplexor Structure, FPGA, Technology Mapping, Module Generator
32Jacob Savir Module level weighted random patterns. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF module level self-test architecture, pseudorandom pattern generator, universal weighting generator, scan latch, near-optimal weight, signal pins, weight control function, self-test time, logic testing, probability, integrated circuit testing, automatic testing, multivalued logic circuits, boundary scan testing, scan test, weighted random patterns, multiple input signature register
32Frank Gurski, Egon Wanke On Module-Composed Graphs. Search on Bibsonomy WG The full citation details ... 2009 DBLP  DOI  BibTeX  RDF special graph classes, homogeneous sets, HHDS-free graphs, bipartite graphs, distance hereditary graphs
32Francisco Corbera, Eladio Gutiérrez, Julián Ramos, Sergio Romero 0001, María A. Trenas Development of a new MOODLE module for a basic course on computer architecture. Search on Bibsonomy ITiCSE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF computer architecture, LMS, Moodle, automatic assessment
32Noriko Hanakawa Visualization for Software Evolution Based on Logical Coupling and Module Coupling. Search on Bibsonomy APSEC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Myunghee Kim The First Step in a Self-Assembling Process in a System: A Picking-Up a Module Algorithm. Search on Bibsonomy ICNSC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Takeshi Kitahara, Hiroyuki Hara, Shinichiro Shiratake, Yoshiki Tsukiboshi, Tomoyuki Yoda, Tetsuaki Utsumi, Fumihiro Minami Low-power design methodology for module-wise dynamic voltage and frequency scaling with dynamic de-skewing systems. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Olivier Gruber, Richard S. Hall A Java Framework for Building and Integrating Runtime Module Systems. Search on Bibsonomy OTM Conferences (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32John Corwin, David F. Bacon, David Grove, Chet Murthy MJ: a rational module system for Java and its applications. Search on Bibsonomy OOPSLA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Java, components, modularity, language design
32Francisco Durán 0001 The Extensibility of Maude's Module Algebra. Search on Bibsonomy AMAST The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
32Zhong Shao Typed Cross-Module Compilation. Search on Bibsonomy ICFP The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
32T. W. Her, Martin D. F. Wong Module implementation selection and its application to transistor placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
31William H. Money Applying social cognitive learning theory to the application of group support systems (GSSs) in classroom settings. Search on Bibsonomy HICSS (4) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF social cognitive learning theory, classroom settings, prototype educational experimenters information systems, course module, module covering systems analysis, organizational data, learning (artificial intelligence), group support systems, group decision support systems, reciprocity, computer aided instruction, cognitive systems, educational computing
31Simon S. Lam, A. Udaya Shankar A Theory of Interfaces and Modules I-Composition Theorem. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF interface theory, composition theorem, interface satisfaction, service consumer, module design, module verification, user interfaces, formal specification, specification, system design, systems analysis, directed graphs, directed acyclic graph, modules, system modelling, system model, nodes, service provider, arcs
31Chandan K. Dubey, Shashank K. Mehta On Indecomposability Preserving Elimination Sequences. Search on Bibsonomy COCOON The full citation details ... 2006 DBLP  DOI  BibTeX  RDF indecomposable graph, critically indecomposable graph, elimination sequence, Module
31Kyle Siegrist Reliability of Systems with Markov Transfer of Control. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF branching systems, module reliabilities, probability, probability, software reliability, software reliability, Markov process, Markov processes, transition probabilities, sequential systems
31Zhiping Li, Tianwei Xu, Yu Sun 0005 A Web-Based Personalized Intelligent Tutoring System. Search on Bibsonomy CSSE (5) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Marc-Philippe Huget Design Agent Interaction as a Service to Agents. Search on Bibsonomy Communication in Multiagent Systems The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Arun Krishnamachary, Jacob A. Abraham, Raghuram S. Tupuri Timing Verification and Delay Test Generation for Hierarchical Designs. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Ali Bahrami, Cihan H. Dagli Hybrid Intelligent Packing System (HIPS) through integration of Artificial Neural Networks, Artificial Intelligence, and mathematical programming. Search on Bibsonomy Appl. Intell. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF connectionist, extensional programming, parallel processing, data driven, cutting and packing
31Keehang Kwon, Gopalan Nadathur, Debra Sue Wilson Implementing a Notion of Modules in the Logic Programming Language Lambda-Prolog. Search on Bibsonomy ELP The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
31Edward G. Rodgers Software sizing problems in software engineering metrics. Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
31Domenick J. Pinto, Sandra K. Honda A comparison of the effects of structured vs. non-structured and modularized vs. non-modularized programs on run time (abstract only). Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
31Howard M. Bloom, Carl E. Wenger An automated documentation system for a large scale manufacturing engineering research project. Search on Bibsonomy SIGDOC The full citation details ... 1983 DBLP  DOI  BibTeX  RDF
28Hongyong Yang, Lan Lu, Qiming Liu Self-organized Collaboration Network Model Based on Module Emerging. Search on Bibsonomy Complex (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Module emerging, Complexity, Self-organized, Network model, Scientific Collaboration
28Qing Dong 0002, Bo Yang 0004, Jing Li 0072, Shigetoshi Nakatake Incremental buffer insertion and module resizing algorithm using geometric programming. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF module resizing, floorplan, buffer insertion, geometric programming
28Wang Chen, Yanjun Shi, Hong-fei Teng An Improved Differential Evolution with Local Search for Constrained Layout Optimization of Satellite Module. Search on Bibsonomy ICIC (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF layout design, satellite module, genetic algorithm, combinatorial optimization, Differential evolution
28Fei Su, Krishnendu Chakrabarty Module placement for fault-tolerant microfluidics-based biochips. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Physical design automation, microfluidics, biochips, module placement
28Junjian Ding, Shili Tan, Haihong Zhang, Xiaofeng Song Research on Module-Based Variant Design for Mass Customization. Search on Bibsonomy PROLAMAT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Modulization Design, Variant Design, Module-Based Variant Design, Mass Customization
28Welson Sun, Michael J. Wirthlin, Stephen Neuendorffer Combining module selection and resource sharing for efficient FPGA pipeline synthesis. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF resource sharing, pipeline scheduling, module selection, data-path synthesis
28Jongmoo Choi, Seungjae Baek, Sung Y. Shin Design and implementation of a kernel resource protector for robustness of Linux module programming. Search on Bibsonomy SAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF loadable module, reclaim, resource protector, slab allocator, fault tolerance, robustness, memory leak, linux kernel
28Long Liu, Wei Hu 0002, Chunrong Lai, Hongshan Jiang, Wenguang Chen, Weimin Zheng, Yimin Zhang 0002 Parallel Module Network Learning on Distributed Memory Multiprocessors. Search on Bibsonomy ICPP Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Module Network, load balance, parallelization, cache, MPI
28Jacob R. Minz, Sung Kyu Lim, Cheng-Kok Koh 3D module placement for congestion and power noise reduction. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF 3D module placement, power noise reduction, congestion, system-on-package
28Andreas Koch 0001 Enabling Automatic Module Generation for FCCM Compilers. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF FPGA, compilation, framework, synthesis, module generation
28Markus Wolf 0001, Ulrich Kleine Automatic Topology Optimization for Analog Module Generators. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF optimization, layout, analog, module generators
28Joel A. Jorgenson, Russell J. Wagner Design-For-Test in a Multiple Substrate Multichip Module. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Multichip Module (MCM) Test, Known-Good Die (KGD), Ball Grid Array (BGA), Built-In-Self-Test (BIST), boundary-scan
28Shuvendu K. Lahiri, Shaz Qadeer, Juan P. Galeotti, Jan W. Voung, Thomas Wies Intra-module Inference. Search on Bibsonomy CAV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Feng Wang 0004, Xiaoxia Wu, Yuan Xie 0001 Variability-driven module selection with joint design time optimization and post-silicon tuning. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Alessandro Ferrante, Aniello Murano Enriched µ-Calculi Module Checking. Search on Bibsonomy FoSSaCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Benjamin Aminof, Aniello Murano, Moshe Y. Vardi Pushdown Module Checking with Imperfect Information. Search on Bibsonomy CONCUR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 13304 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license