The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for PCBs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-2001 (16) 2002-2004 (15) 2005-2007 (15) 2008-2013 (18) 2014-2018 (15) 2019-2022 (21) 2023-2024 (10)
Publication types (Num. hits)
article(48) inproceedings(61) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 58 occurrences of 58 keywords

Results
Found 110 publication records. Showing 110 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
105Hugh M. Cartwright Investigation of Structure - Biodegradability Relationships in Polychlorinated Biphenyls Using Self-Organising Maps. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Microbial degradation, Polychlorinated biphenyl, Artificial intelligence, Self-Organising Map, Remediation, Pollutant
75Leah Buechley, Michael Eisenberg Fabric PCBs, electronic sequins, and socket buttons: techniques for e-textile craft. Search on Bibsonomy Pers. Ubiquitous Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Fabric PCBs, Iron-on circuits, Electronic sequins, Socket buttons, Do-it-yourself, E-textile craft, Electronic textiles, E-textiles
52Hidemasa Kubota, Atsushi Kamo, Takayuki Watanabe, Hideki Asai Noise analysis of power/ground planes on PCB by SPICE-like simulator with model order reduction technique. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
42Hui Kong 0002, Tan Yan, Martin D. F. Wong Automatic bus planner for dense PCBs. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF PCB routing, bus planning, topological routing, layer assignment
42Mehdi M. Mechaik An Evaluation of Single-Ended and Differential Impedance in PCBs. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
35Abdelhameed Ibrahim, Shoji Tominaga, Takahiko Horiuchi Material Classification for Printed Circuit Boards by Spectral Imaging System. Search on Bibsonomy CCIW The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Spectral imaging system, material classification, spectral reflectance, k-means, printed circuit board, region segmentation, normalized cut
35Tan Yan, Martin D. F. Wong A correct network flow model for escape routing. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF PCB routing, diagonal capacity, missing pin, package routing, network flow, escape routing
35Runpeng Liang, Jiaxiang Luo, Qingqiang Yang, Wenfeng Luo PSO Combined with ILS for Flowshop-Based Parallel Task Assignment Problem. Search on Bibsonomy ISICA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Parallel task assignment, Particle Swarm Optimization, Hybrid algorithm, ILS
35Li Pheng Khoo, Darius Alisantoso Line balancing of PCB assembly line using immune algorithms. Search on Bibsonomy Eng. Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Assembly line balancing, PCB assembly, Genetic algorithms, Immune algorithm, Information Entropy, Affinity
35Keiki Takadama, Shinichi Nakasuka, Takao Terano Amalyzing the Roles of Problem Solving and Learning in Organizational-Learning Oriented Classifier System. Search on Bibsonomy PRICAI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF print circuit board design, multiagent system, learning classifier system, organizational learning
35William R. Deitrick Automated computer controlled editing sound system (ACCESS). Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
33Sandra L. Bartlett, Paul J. Besl, Charles L. Cole, Ramesh C. Jain, Debashish Mukherjee, Kurt D. Skifstad Automatic Solder Joint Inspection. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF automatic joint inspection, pin-in-hole solder joints, objective dimensionality-reduction method, feature data, classification evidence, computer vision, computer vision, expert systems, expert systems, computerised pattern recognition, inspection, statistical pattern recognition, feature weights, printed circuit testing, decorrelation, electronic engineering computing, PCBs, voting scheme
24Alessandro Paghi, Giacomo Trupiano, Claudio Puglia, Hannah Burgaud, Giorgio De Simoni, Angelo Greco, Francesco Giazotto Estimation of the FR4 Microwave Dielectric Properties at Cryogenic Temperature for Quantum-Chip-Interface PCBs Design. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
24Ke Huang 0001, Yu Liu, Nenad Korolija, John M. Carulli, Yiorgos Makris Statistical Methods for Detecting Recycled Electronics: From ICs to PCBs and Beyond. Search on Bibsonomy IEEE Des. Test The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
24Lawrence Yule, Nicholas Harris, Martyn Hill, Bahareh Zaghari, Joanna Grundy Temperature Hotspot Detection on Printed Circuit Boards (PCBs) Using Ultrasonic Guided Waves - A Machine Learning Approach. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
24Jingling Mei, Haiyue Yuan, Xinxin Guo, Xiuqin Chu, Lei Ding Fast Prediction Method for Scattering Parameters of Rigid-Flex PCBs Based on ANN. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
24Elena Jasiuniene, Renaldas Raisutis, Vykintas Samaitis, Audrius Jankauskas Comparison of Different NDT Techniques for Evaluation of the Quality of PCBs Produced Using Traditional vs. Additive Manufacturing Technologies. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
24Xinyi Yu, Han-Xiong Li, Haidong Yang Collaborative Learning Classification Model for PCBs Defect Detection Against Image and Label Uncertainty. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
24Jubilee Prasad-Rao, Roohollah Heidary, Jesse Williams Detecting Manufacturing Defects in PCBs via Data-Centric Machine Learning on Solder Paste Inspection Features. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
24Diulhio Candido de Oliveira, Bogdan Tomoyuki Nassu, Marco Aurélio Wehrmeister Image-Based Detection of Modifications in Assembled PCBs with Deep Convolutional Autoencoders. Search on Bibsonomy Sensors The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
24Lejun Pan, Wenyan Wang, Kun Lu, Jun Zhang 0011, Peng Chen 0001, Jiawei Ni, Chenlin Zhu, Bing Wang 0004 Efficient and Precise Detection of Surface Defects on PCBs: A YOLO Based Approach. Search on Bibsonomy ICIC (2) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
24Colin J. Ackerman, Reza J. Afshari, John A. Lund Embedded Optoelectronics in Fiberglass PCBs and Applications for Robotics with Human Interface and ML-Enabled Detection. Search on Bibsonomy SMC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
24Hammond Pearce, Virinchi Roy Surabhi, Prashanth Krishnamurthy, Joshua Trujillo, Ramesh Karri, Farshad Khorrami Detecting Hardware Trojans in PCBs Using Side Channel Loopbacks. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Xin Zhou, Gang Zhang 0004, Jian Zheng, Wanchun Tang, Jiquan Yang SIW Filter With Adjustable Number of Passbands Using Assembled Multimode Resonant PCBs. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Diulhio Candido de Oliveira, Bogdan Tomoyuki Nassu, Marco Aurélio Wehrmeister Image-Based Detection of Modifications in Gas Pump PCBs with Deep Convolutional Autoencoders. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Jorge Torrubia, Antonio Valero, Alicia Valero Thermodynamic Rarity Assessment of Mobile Phone PCBs: A Physical Criticality Indicator in Times of Shortage. Search on Bibsonomy Entropy The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Maide Bucolo, Arturo Buscarino, Carlo Famoso, Luigi Fortuna, Mattia Frasca A model identification strategy to design PCBs for power systems. Search on Bibsonomy ISIE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Mircea Nicolaescu, Victor Croitoru, Leontin Tuta Radiated Fields by Vias and Matched Microstrip Traces in High Speed PCBs. Search on Bibsonomy COMM The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Faten Sahel Contribution à la modélisation du couplage entre les alimentations et les signaux sensibles dans les cartes électroniques à haute densité d'interconnexions. (Contribution to the modelling of crosstalk between power supplies and sensitive signals in high-density interconnection PCBs). Search on Bibsonomy 2022   RDF
24Jacob Harrison, Navid Asadizanjani, Mark M. Tehranipoor On malicious implants in PCBs throughout the supply chain. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Tin Lun Lam Low-Cost Non-Contact PCBs Temperature Monitoring and Control in a Hot Air Reflow Process Based on Multiple Thermocouples Data Fusion. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Asad Ali, Anjum Naveed, Muhammad Zeeshan 0001 A dual model node based optimization algorithm for simultaneous escape routing in PCBs. Search on Bibsonomy PeerJ Comput. Sci. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Adam Byerly, Tatiana Kalganova, Anthony J. Grichnik On the Importance of Capturing a Sufficient Diversity of Perspective for the Classification of micro-PCBs. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
24Jiang-Ping Huang, Quan-Ke Pan, Liang Gao 0001, Ling Wang 0001 An effective iterated greedy algorithm for PCBs grouping problem to minimize setup times. Search on Bibsonomy Appl. Soft Comput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Weijie Dong, Bingxin Ren, Yongping Xie Design of High Density PCBs with Far-End Crosstalk for Microstrip Lines as Measurement Index. Search on Bibsonomy EEET The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Adam Byerly, Tatiana Kalganova, Anthony J. Grichnik On the Importance of Capturing a Sufficient Diversity of Perspective for the Classification of Micro-PCBs. Search on Bibsonomy KES-IDT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Faten Sahel, Pascal Guilbault, Farouk Vallette, Sylvain Feruglio A Crosstalk Modelling Method between a Power Supply and a Nearby Signal in High-density Interconnection PCBs. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Jani Koskinen, Csaba Raduly-Baka, Mika Johnsson, Olli S. Nevalainen Rolling horizon production scheduling of multi-model PCBs for several assembly lines. Search on Bibsonomy Int. J. Prod. Res. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
24Du-Ming Tsai, Yi-Hsiang Chou Fast and Precise Positioning in PCBs Using Deep Neural Network Regression. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
24Yuan Gao, Jiahuang Lin, Zhi Chen 0020, Mengjun Fang, Xiang Li 0009, Yun-Hui Liu Deep-Learning Based Robotic Manipulation of Flexible PCBs. Search on Bibsonomy RCAR The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
24Mannu Lambrichts, Jose Maria Tijerina, Tom De Weyer, Raf Ramakers DIY Fabrication of High Performance Multi-Layered Flexible PCBs. Search on Bibsonomy TEI The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
24Abdel-Aziz Ibrahim Mahmoud Hassanin, Fathi E. Abd El-Samie, Ghada M. El Banby A real-time approach for automatic defect detection from PCBs based on SURF features and morphological operations. Search on Bibsonomy Multim. Tools Appl. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
24Zimu Guo, Xiaolin Xu, Mark M. Tehranipoor, Domenic Forte EOP: An Encryption-Obfuscation Solution for Protecting PCBs Against Tampering and Reverse Engineering. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
24Vimal Samsingh Ramalingam, Kanagasabai Malathi, Esther Florence Sundarsingh Transit Time Dependent Condition Monitoring of PCBs During Testing for Diagnostics in Electronics Industry. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
24Tsun-Ming Tseng, Bing Li 0005, Tsung-Yi Ho, Ulf Schlichtmann Post-Route Refinement for High-Frequency PCBs Considering Meander Segment Alleviation. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
24Xiang Li 0009, Xing Su, Yunhui Liu Adaptive region control for robotic soldering of flexible PCBs. Search on Bibsonomy ICAR The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
24Xiang Li 0009, Xing Su, Yunhui Liu Cooperative robotic soldering of flexible PCBs. Search on Bibsonomy IROS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
24Wei He, Hengyang Zhao, Zhongdong Qi, Hai-Bao Chen, Sheldon X.-D. Tan Fast two-dimensional finite element analysis for power network DC integrity checks of PCBs. Search on Bibsonomy ASICON The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
24Widianto, Masaki Hashizume, Shohei Suenaga, Hiroyuki Yotsuyanagi, Akira Ono, Shyue-Kung Lu, Zvi Roth A Built-in Test Circuit for Electrical Interconnect Testing of Open Defects in Assembled PCBs. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
24Seong-I Lei, Wai-Kei Mak Optimizing Pin Assignment and Escape Routing for Blind-via-Based PCBs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
24Aydin Aysu, Shravya Gaddam, Harsha Mandadi, Carol Pinto, Luke Wegryn, Patrick Schaumont A design method for remote integrity checking of complex PCBs. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
24Abdelghani Renbi, Jerker Delsing Application of Contactless Testing to PCBs with BGAs and Open Sockets. Search on Bibsonomy J. Electron. Test. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
24Gert Vogel Avoiding flex cracks in ceramic capacitors: Analytical tool for a reliable failure analysis and guideline for positioning cercaps on PCBs. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
24Matthias Breier, Philipp Moller, Wei Li 0052, Marcel Bosling, Thomas Pretz, Dorit Merhof Accurate laser triangulation using a perpendicular camera setup to assess the height profile of PCBs. Search on Bibsonomy ICIT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
24Kan Wang, Sheqin Dong, Huaxi Wang, Qian Chen, Tao Lin Mixed-Crossing-Avoided Escape Routing of Mixed-Pattern Signals on Staggered-Pin-Array PCBs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
24Tobias Lamprecht, Markus Halter, Daniel Meier, Stefan Beyer, Felix Betschon, Ranjith John, Chad Amb, Brandon W. Swatowski, W. Ken Weidner Highly reliable silicone based optical waveguides embedded in PCBs. Search on Bibsonomy OFC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
24T. M. Mak Interposer test: Testing PCBs that have shrunk 100x. Search on Bibsonomy ITC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
24Takaaki Ishigure GI-core polymer optical waveguide for triggering the migration of optical interconnects from inter-rack to PCBs. Search on Bibsonomy ECOC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
24Yuan-Kai Ho, Hsu-Chieh Lee, Yao-Wen Chang Escape Routing for Staggered-Pin-Array PCBs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
24Pei-Ci Wu, Qiang Ma 0002, Martin D. F. Wong An ILP-based automatic bus planner for dense PCBs. Search on Bibsonomy ASP-DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
24Yuan-Kai Ho, Xin-Wei Shih, Yao-Wen Chang, Chung-Kuan Cheng Layer minimization in escape routing for staggered-pin-array PCBs. Search on Bibsonomy ASP-DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
24Kan Wang, Huaxi Wang, Sheqin Dong Escape routing of mixed-pattern signals based on staggered-pin-array PCBs. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
24Tsun-Ming Tseng, Bing Li 0005, Tsung-Yi Ho, Ulf Schlichtmann Post-route refinement for high-frequency PCBs considering meander segment alleviation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
24Daniel Lopez-Escogido, Adriano de Luca 2-D high precision laser sensor for detecting small defects in PCBs. Search on Bibsonomy CCE The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
24Anna Maria Sulej, Zaneta Polkowska, Jacek Namiesnik Contamination of Runoff Water at Gdańsk Airport (Poland) by Polycyclic Aromatic Hydrocarbons (PAHs) and Polychlorinated Biphenyls (PCBs). Search on Bibsonomy Sensors The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
24Adriano de Luca, Hector Dominguez Design of a high precision testbed of an automatic inspection system for detecting fine defects in PCBs. Search on Bibsonomy CCE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
24Jiaxiang Luo, Jiyin Liu Mixed integer linear programming models for scheduling the LED planting operation on PCBs. Search on Bibsonomy ICAC The full citation details ... 2011 DBLP  BibTeX  RDF
24Yuan-Kai Ho, Hsu-Chieh Lee, Yao-Wen Chang Escape routing for staggered-pin-array PCBs. Search on Bibsonomy ICCAD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
24Qiang Ma 0002, Evangeline F. Y. Young, Martin D. F. Wong An optimal algorithm for layer assignment of bus escape routing on PCBs. Search on Bibsonomy DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
24Hui Kong 0002, Tan Yan, Martin D. F. Wong Optimal simultaneous pin assignment and escape routing for dense PCBs. Search on Bibsonomy ASP-DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
24Stanislava Macova, Danka Harustiakova, Jitka Kolarova, Jana Machova, Vladimir Zlabek, Blanka Vykusova, Tomas Randak, Josef Velisek, Gorzyslaw Poleszczuk, Jana Hajslova, Jana Pulkrabova, Zdenka Svobodová Leeches as Sensor-bioindicators of River Contamination by PCBs. Search on Bibsonomy Sensors The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Hui Kong 0002, Tan Yan, Martin D. F. Wong, Muhammet Mustafa Ozdal Optimal bus sequencing for escape routing in dense PCBs. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Muhammet Mustafa Ozdal, Martin D. F. Wong Algorithms for simultaneous escape routing and Layer assignment of dense PCBs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Zhi Liang Wang, Osami Wada, Takashi Harada, Takahiro Yaguchi, Yoshitaka Toyota, Ryuji Koga Modeling and Simulation of Via-Connected Power Bus Stacks in Multilayer PCBs. Search on Bibsonomy IEICE Trans. Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Nguyen Van Hop, Nagendra N. Nagarur The scheduling problem of PCBs for multiple non-identical parallel machines. Search on Bibsonomy Eur. J. Oper. Res. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Muhammet Mustafa Ozdal, Martin D. F. Wong Simultaneous escape routing and layer assignment for dense PCBs. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Vittorio Ricchiuti Power Bus Signal Integrity Improvement and EMI Mitigation on Multilayer High-Speed Digital PCBs with Embedded Capacitance. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Embedded capacitance, power bus, power/ground layers, power supply decoupling, electric field strength, S-parameters
24Swati Puri, James S. Chickos, William J. Welsh Three-Dimensional Quantitative Structure-Property Relationship (3D-QSPR) Models for Prediction of Thermodynamic Properties of Polychlorinated Biphenyls (PCBs): Enthalpies of Fusion and Their Application to Estimates of Enthalpies of Sublimation and Aqueous Solubilities. Search on Bibsonomy J. Chem. Inf. Comput. Sci. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Swati Puri, James S. Chickos, William J. Welsh Three-Dimensional Quantitative Structure-Property Relationship (3D-QSPR) Models for Prediction of Thermodynamic Properties of Polychlorinated Biphenyls (PCBs): Enthalpy of Sublimation. Search on Bibsonomy J. Chem. Inf. Comput. Sci. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Swati Puri, James S. Chickos, William J. Welsh Three-Dimensional Quantitative Structure-Property Relationship (3D-QSPR) Models for Prediction of Thermodynamic Properties of Polychlorinated Biphenyls (PCBs): Enthalpy of Vaporization. Search on Bibsonomy J. Chem. Inf. Comput. Sci. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Daren Zhang QSPR Studies of PCBs by the Combination of Genetic Algorithms and PLS Analysis. Search on Bibsonomy Comput. Chem. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24H. Schmidt, Dirk Theune, Ralf Thiele, Thomas Lengauer EMC-driven midway routing on PCBs. Search on Bibsonomy ED&TC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
24Sharad Mehrotra, Paul D. Franzon, Michael B. Steer Performance Driven Global Routing and Wiring Rule Generation for High Speed PCBs and MCMs. Search on Bibsonomy DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
24Maciej J. Ciesielski, Edwin Kinnen An optimum layer assignment for routing in ICs and PCBs. Search on Bibsonomy DAC The full citation details ... 1981 DBLP  BibTeX  RDF
17Cyrus Bazeghi, Francisco J. Mesa-Martinez, Brian Greskamp, Josep Torrellas, Jose Renau Estimating design time for system circuits. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Takeshi Aoki, Shigeo Hirose Study on the brake operation of "Bridle Bellows". Search on Bibsonomy IROS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Scott E. Hanselman, Mahmoud Pegah The wild wild waste: e-waste. Search on Bibsonomy SIGUCCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF donate, e-waste, hazardous material, virtualization, efficiency, environment, reduce, thin-client, ecology, green computing, recycle, upgrade, re-use
17Hans Kristian Otnes Berge, Philipp Häfliger High-Speed Serial AER on FPGA. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17C. J. Clark Tutorial IND2B: Structured Embedded Configuration and Test. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Yukiko Kubo, Atsushi Takahashi 0001 Global Routing by Iterative Improvements for Two-Layer Ball Grid Array Packages. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Syamsiah Mashohor, Jonathan R. Evans, Ahmet T. Erdogan Automatic Hybrid Genetic Algorithm Based Printed Circuit Board Inspection. Search on Bibsonomy AHS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Joungho Kim, Junso Pak, Jongbae Park, Hyungsoo Kim Noise generation, coupling, isolation, and EM radiation in high-speed package and PCB. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Masaki Hashizume, Masahiro Ichimiya, Hiroyuki Yotsuyanagi, Takeomi Tamesada Electric field for detecting open leads in CMOS logic circuits by supply current testing. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Stephan Lang, Babak Daneshrad From architecture to implementation of a wireless, multiple antenna testbed. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Young-Seok Hong, Heeseok Lee, Joon-Ho Choi, Moon-Hyun Yoo, Jeong-Taek Kong Analysis for Complex Power Distribution Networks Considering Densely Populated Vias. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Ihsan Yilmaz, Hans Otto Günther A Group Setup Strategy for PCB Assembly on a Single Automated Placement Machine. Search on Bibsonomy OR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Stephen P. Carullo, Michael Olaleye, Chika Nwankpa VSLI Based Analog Power System Emulator for Fast Contingency Analysis. Search on Bibsonomy HICSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Giulio Antonini Fast Multipole Method for Time Domain PEEC Analysis. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF PEEC analysis, Numerical methods, equivalent circuits, Fast Multipole Method
17Wolfgang Müller 0003, Tim Schattkowsky, Heinz-Josef Eikerling, Jan Wegner Dynamic Tool Integration in Heterogeneous Computer Networks. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Jeffrey S. Smith, Yali Li, Jason Gjesvold Manufacturing 1: simulation-based analysis of a complex printed circuit board testing process. Search on Bibsonomy WSC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 110 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license