The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for TPG with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1997 (17) 1998-1999 (18) 2000-2001 (19) 2002-2003 (24) 2004-2005 (19) 2006-2008 (16) 2009-2017 (15) 2018-2023 (7)
Publication types (Num. hits)
article(46) inproceedings(89)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 161 occurrences of 82 keywords

Results
Found 135 publication records. Showing 135 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
116Ondrej Novák, Jiri Nosek On Using Deterministic Test Sets in BIST. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
116Chen-Huan Chiang, Sandeep K. Gupta 0001 BIST TPGs for Faults in Board Level Interconnect via Boundary Scan. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
113Chen-Huan Chiang, Sandeep K. Gupta 0001 BIST TPG for Combinational Cluster Interconnect Testing at Board Level. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF cluster testing, built-in self-test, BIST, boundary scan, interconnect testing
109Patrick Girard 0001, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch An adjacency-based test pattern generator for low power BIST design. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low-power electronics, adjacency-based test pattern generator, low power BIST design, pseudo-random TPG, test-per-clock BIST, peak power consumption, total energy consumption, strongly connected circuits, VLSI, fault diagnosis, logic testing, built-in self test, integrated circuit testing, automatic test pattern generation, fault coverage, test length
106Dimitri Kagaris Built-In TPG with Designed Phaseshifts. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Built-in Self-Test (BIST), Cellular Automata, Test Pattern Generation (TPG), Phase Shifters
98Xiaowei Li 0001, Paul Y. S. Cheung, Hideo Fujiwara LFSR-Based Deterministic TPG for Two-Pattern Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF configurable LFSR, built-in self-test, path delay faults, two-pattern test
91Dimitri Kagaris Multiple-Seed TPG Structures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Built-In Self-Test (BIST), Linear Feedback Shift Registers (LFSRs), Test Pattern Generation (TPG)
87Abdessatar Abderrahman, Eduard Cerny, Bozena Kaminska CLP-based Multifrequency Test Generation for Analog Circuits. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
72Emil Gizdarski, Hideo Fujiwara SPIRIT: a highly robust combinational test generation algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
72Seongmoon Wang Generation of Low Power Dissipation and High Fault Coverage Patterns for Scan-Based BIST. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
69Seongmoon Wang A BIST TPG for Low Power Dissipation and High Fault Coverage. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
69Dimitrios Kagaris, Spyros Tragoudas Pseudoexhaustive TPG with a Provably Low Number of LFSR Seeds. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
67Debesh K. Das, Indrajit Chaudhuri, Bhargab B. Bhattacharya Design of an Optimal Test Pattern Generator for Built-in Self Testing of Path Delay Faults. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Built-in self-test, TPG, delay faults, robust testing, two-pattern tests
64Sukanta Das, Anirban Kundu, Biplab K. Sikdar, Parimal Pal Chaudhuri Design of Nonlinear CA Based TPG Without Prohibited Pattern Set In Linear Time. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF nonlinear CA, prohibited pattern set, TPG
63Chen-Huan Chiang, Sandeep K. Gupta 0001 BIST TPG for SRAM cluster interconnect testing at board level. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF SRAM cluster interconnect testing, BIST TPG, static random access memory, board-level interconnects, test pattern generation architecture, IEEE 1149.1 boundary scan architecture, prohibited conditions, testable SRAM cluster interconnect fault detection, logic testing, built-in self test, automatic test pattern generation, test pattern generation, boundary scan testing, integrated circuit interconnections, SRAM chips, printed circuit testing
58Dhiraj K. Pradhan, Chunsheng Liu EBIST: a novel test generator with built-in fault detection capability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
58Biplab K. Sikdar, Niloy Ganguly, Parimal Pal Chaudhuri Generation of test patterns without prohibited pattern set. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
58Costas Laoudias, Dimitris Nikolos A new test pattern generator for high defect coverage in a BIST environment. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF built-in self test, stuck-at fault, path delay fault
58Emil Gizdarski, Hideo Fujiwara Spirit: satisfiability problem implementation for redundancy identification and test generation. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Boolean satisfiability method, SPIRIT, ATPG system, logic testing, computability, automatic test pattern generation, combinational circuits, combinational circuits, test pattern generation, test sets
58Karl Fuchs, Michael Pabst, Torsten Rössel RESIST: a recursive test pattern generation algorithm for path delay faults considering various test classes. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
55Seongmoon Wang, Sandeep K. Gupta 0001 LT-RTPG: a new test-per-scan BIST TPG for low switching activity. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
55Seongmoon Wang, Sandeep K. Gupta 0001 DS-LFSR: a BIST TPG for low switching activity. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
52Marcelino B. Santos, Fernando M. Gonçalves, Isabel C. Teixeira, João Paulo Teixeira 0001 RTL Design Validation, DFT and Test Pattern Generation for High Defects Coverage. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF fault modeling, DFT, TPG, RTL
51Ehsan Atoofian, Zainalabedin Navabi A Test Approach for Look-Up Table Based FPGAs. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF LUT testing, TPG with LE, BIST, memory testing, FPGA testing
51Dimitri Kagaris Phase Shifter Merging. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Built-in Self-Test (BIST), cellular automata, linear feedback shift registers, Test Pattern Generation (TPG), phase shifters
51Dimitrios Kagaris A unified method for phase shifter computation. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF built-in self-test (BIST), cellular automata, linear feedback shift registers, Test pattern generation (TPG), linear finite state machines, phase shifters
48Dimitri Kagaris, Spyros Tragoudas LFSR Characteristic Polynomials for Pseudo-Exhaustive TPG with Low Number of Seeds. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF built-in self-test (BIST), Linear Feedback Shift Registers (LFSR), test pattern generation (TPG)
45M. H. Konijnenburg, Hans van der Linden, Ad J. van de Goor Fault (In)Dependent Cost Estimates and Conflict-Directed Backtracking to Guide Sequential Circuit Test Generation. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF sequential circuit TPG, back-jumping, conflict-directed backtrack, three-state (tri-state) circuit TPG, ATPG, cost estimates
45Günter Kemnitz Synthesis of locally exhaustive test pattern generators. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF locally exhaustive TPG, linear sum computation, TPG synthesis, logic testing, integrated circuit testing, automatic testing, test pattern generators
43Bei Cao, Liyi Xiao, Yongsheng Wang A Low Power Deterministic Test Pattern Generator for BIST Based on Cellular Automata. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
43Xiaoping Liu 0003, Hui Shi, Qiang Lu, Zhengqiang Mao Visual Task-driven Based on Task Precedence Graph for Collaborative Design. Search on Bibsonomy CSCWD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43Dhiraj K. Pradhan, Dimitri Kagaris, Rohit Gambhir A Hamming Distance Based Test Pattern Generator with Improved Fault Coverage. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
43Allon Adir, Eli Almog, Laurent Fournier, Eitan Marcus, Michal Rimon, Michael Vinov, Avi Ziv Genesys-Pro: Innovations in Test Program Generation for Functional Processor Verification. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
43Marcelino B. Santos, José M. Fernandes, Isabel C. Teixeira, João Paulo Teixeira 0001 RTL Test Pattern Generation for High Quality Loosely Deterministic BIST. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
43Nan-Cheng Li, Sying-Jyan Wang A Reseeding Technique for LFSR-Based BIST Applications. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Reseedling, LFST, BIST, Test Pattern Generator, Pseudo-Random Testing
43Maciej Bellos, Dimitrios Kagaris, Dimitris Nikolos Test Set Embedding Based on Phase Shifters. Search on Bibsonomy EDCC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
43Dimitri Kagaris Built-in Generation of m -Sequences with Irreducible Characteristic Polynomials. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
43Biplab K. Sikdar, Purnabha Majumder, Monalisa Mukherjee, Parimal Pal Chaudhuri, Debesh K. Das, Niloy Ganguly Hierarchical Cellular Automata As An On-Chip Test Pattern Generator. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
43Abdessatar Abderrahman, Eduard Cerny, Bozena Kaminska Worst case tolerance analysis and CLP-based multifrequency test generation for analog circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
43Zohair Sahraoui, Francky Catthoor, Paul Six, Hugo De Man Techniques for Reducing the Number of Decisions and Backtracks in Combinational Test Generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF supergate, prime-and-irredundant, ATPG, BDD
43Hassan Ihs, Christian Dufaza Test synthesis for DC test of switched-capacitors circuits. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
43Debesh Kumar Das, Susanta Chakraborty, Bhargab B. Bhattacharya New BIST Techniques for Universal and Robust Testing of CMOS Stuck-Open Faults. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
40Patrick Girard 0001, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch, Joan Figueras, Salvador Manich, João Paulo Teixeira 0001, Marcelino B. Santos Low-energy BIST design: impact of the LFSR TPG parameters on the weighted switching activity. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
40Dimitrios Kagaris, Spyros Tragoudas LFSR/SR Pseudo-Exhaustive TPG in Fewer Test Cycles. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
40Chih-Ang Chen, Sandeep K. Gupta Efficient BIST TPG design and test set compaction via input reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
40Dimitrios Kagaris, Spyros Tragoudas, Dinesh Bhatia Pseudo-exhaustive built-in TPG for sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
29Shantanu Dutt, Li Li Trust-Based Design and Check of FPGA Circuits Using Two-Level Randomized ECC Structures. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF masking probability, parity groups, parity randomization, trust checking, trust-based design, FPGAs, Error-correcting codes
29Tomasz Rudnicki, Tomasz Garbolino, Krzysztof Gucwa, Andrzej Hlawiczka Effective BIST for crosstalk faults in interconnects. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Martin Straka, Jiri Tobola, Zdenek Kotásek Checker Design for On-line Testing of Xilinx FPGA Communication Protocols. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Nan-Cheng Lai, Sying-Jyan Wang, Y.-H. Fu Low-Power BIST With a Smoother and Scan-Chain Reorder Under Optimal Cluster Size. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Youbean Kim, Myung-Hoon Yang, Yong Lee 0002, Sungho Kang 0001 A New Low Power Test Pattern Generator using a Transition Monitoring Window based on BIST Architecture. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Abbas Tarhini, Hacène Fouchal, Nashat Mansour A Simple Approach for Testing Web Service Based Applications. Search on Bibsonomy IICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF web service, verification, testing, web application, label transition systems
29Ondrej Novák, Zdenek Plíva, Jiri Nosek, Andrzej Hlawiczka, Tomasz Garbolino, Krzysztof Gucwa Test-Per-Clock Logic BIST with Semi-Deterministic Test Patterns and Zero-Aliasing Compactor. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF test-per-clock testing, test pattern compression, zero aliasing error, built-in self test, test response compaction
29Sukanta Das, Debdas Dey, Subhayan Sen, Biplab K. Sikdar, Parimal Pal Chaudhuri An efficient design of non-linear CA based PRPG for VLSI circuit testing. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Ehsan Atoofian, Zainalabedin Navabi A BIST Architecture for FPGA Look-Up Table Testing Reduces Reconfigurations. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Irith Pomeranz, Sudhakar M. Reddy, Yervant Zorian A Test Interface for Built-In Test of Non-Isolated Scanned Cores. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Sukanta Das, Niloy Ganguly, Biplab K. Sikdar, Parimal Pal Chaudhuri Design Of A Universal BIST (UBIST) Structure. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Niloy Ganguly, Anindyasundar Nandi, Sukanta Das, Biplab K. Sikdar, Parimal Pal Chaudhuri An Evolutionary Strategy To Design An On-Chip Test Pattern Generator Without Prohibited Pattern Set (PPS). Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Niloy Ganguly, Biplab K. Sikdar, Parimal Pal Chaudhuri Design of an On-Chip Test Pattern Generator without Prohibited Pattern Set (PPS). Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Rajesh Pendurkar, Abhijit Chatterjee, Yervant Zorian Switching activity generation with automated BIST synthesis forperformance testing of interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Patrick Girard 0001, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch, Hans-Joachim Wunderlich A Modified Clock Scheme for a Low Power BIST Test Pattern Generator. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Dimitris Bakalis, Dimitris Nikolos, George Alexiou, Emmanouil Kalligeros, Haridimos T. Vergos Low Power BIST for Wallace Tree-Based Fast Multipliers. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Testing, Low Power, BIST, Multipliers, Wallace Trees
29Xrysovalantis Kavousianos, Dimitris Bakalis, Haridimos T. Vergos, Dimitris Nikolos, George Alexiou Low Power Dissipation in BIST Schemes for Modified Booth Multipliers. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Modified Booth Multipliers, Low Power, Built In Self Test, VLSI Testing
29Patrick Girard 0001, Christian Landrault, V. Moreda, Serge Pravossoudovitch An optimized BIST test pattern generator for delay testing. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF BIST test pattern generator, robust delay fault coverage, single input change test sequence, compatible inputs, optimization, delays, built-in self-test, fault detection, delay testing, test length, area overhead, circuit under test
29Karl Fuchs Synthesis for path delay fault testability via tautology-based untestability identification and factorization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
29Shambhu J. Upadhyaya, Kewal K. Saluja A new approach to the design of built-in self-testing PLAs for high fault coverage. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
26Xiaochao Dang 0001, Wenze Ke, Zhanjun Hao 0001, Peng Jin, Han Deng, Ying Sheng 0009 mm-TPG: Traffic Policemen Gesture Recognition Based on Millimeter Wave Radar Point Cloud. Search on Bibsonomy Sensors The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Nicolas Sourbier, Justine Bonnot, Frédéric Majorczyk, Olivier Gesny, Thomas Guyet, Maxime Pelcat Imbalanced classification with tpg genetic programming: impact of problem imbalance and selection mechanisms. Search on Bibsonomy GECCO Companion The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Lucas B. Zilch, Állan G. Ferreira, Marcelo Soares Lubaszewski, Tiago R. Balen Evaluating Fault Coverage of Structural and Specification-based Tests Obtained With a Low-Cost Analog TPG Tool. Search on Bibsonomy LATS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Jingxing Jiang, Zhubin Wang, Fei Fang 0002, Binqiang Zhao TPG-DNN: A Method for User Intent Prediction Based on Total Probability Formula and GRU Loss with Multi-task Learning. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
26Louis Y.-Z. Lin, Charles Chia-Hao Hsu, Charles H.-P. Wen P4-TPG: Accelerating Deterministic Parallel Test Pattern Generation by Preemptive, Proactive, and Preventive Schedulings. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26G. Naveen Balaji, S. Chenthur Pandian Design of test pattern generator (TPG) by an optimized low power design for testability (DFT) for scan BIST circuits using transmission gates. Search on Bibsonomy Clust. Comput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26Yuan Yuan 0007, Jingtao Sun, Miaohui Wang Dilated Deep Residual Network for Post-processing in TPG Based Image Coding. Search on Bibsonomy IDCS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Pascal Raiola, Jan Burchard, Felix Neubauer, Dominik Erb, Bernd Becker 0001 Evaluating the Effectiveness of D-chains in SAT-based ATPG and Diagnostic TPG. Search on Bibsonomy J. Electron. Test. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
26Haiying Yuan, Kun Guo, Xun Sun, Jiaping Mei, Hongying Song A Power Efficient BIST TPG Method on Don't Care Bit Based 2-D Adjusting and Hamming Distance Based 2-D Reordering. Search on Bibsonomy J. Electron. Test. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Kishore K. Duganapalli, Ajoy Kumar Palit, Walter Anheier TPG for Crosstalk Faults between On-Chip Aggressor and Victim Using Genetic Algorithms. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Abdallatif S. Abu-Issa, Iyad K. Tumar, Wasel T. Ghanem SR-TPG: A low transition test pattern generator for test-per-clock and test-per-scan BIST. Search on Bibsonomy IDT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Chun-Hao Chang, Kuen-Wei Yeh, Jiun-Lang Huang, Laung-Terng Wang SDC-TPG: A Deterministic Zero-Inflation Parallel Test Pattern Generator. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26N. Palermo, Valentin Tihhomirov, Thiago Santos Copetti, Maksim Jenihhin, Jaan Raik, Sergei Kostin, Marco Gaudesi, Giovanni Squillero, Matteo Sonza Reorda, Fabian Vargas 0001, Letícia Maria Bolzani Pöhls Rejuvenation of nanoscale logic at NBTI-critical paths using evolutionary TPG. Search on Bibsonomy LATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Ioannis Voyiatzis, Costas Efstathiou Accumulator-based generation for serial TPG. Search on Bibsonomy Panhellenic Conference on Informatics The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Aiwu Ruan, Shi Kang, Yu Wang, Xiao Han, Zujian Zhu, Yongbo Liao, Peng Li A Built-In Self-Test (BIST) system with non-intrusive TPG and ORA for FPGA test and diagnosis. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Ioannis Voyiatzis, Costas Efstathiou, Cleo Sgouropoulou Embedding test vectors in accumulator - based TPG using progressive search. Search on Bibsonomy DTIS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Oscar Acevedo, Dimitri Kagaris Using the Berlekamp-Massey algorithm to obtain LFSR characteristic polynomials for TPG. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Karim Yehia, Mona Safar, Hassan A. Youness, Mohamed Abdelsalam, Ashraf Salem A novel approach for system level synthesis of multi-core system architectures from TPG models. Search on Bibsonomy AICCSA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Cleonilson Protásio de Souza, Francisco Marcos de Assis, Raimundo Carlos Silvério Freire A New Built-in TPG Based on Berlekamp-Massey Algorithm. Search on Bibsonomy J. Electron. Test. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Samara Sudireddy, Jayawant Kakade, Dimitri Kagaris Deterministic Built-in TPG with Segmented FSMs. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Dimitrios Kagaris, P. Karpodinis, Dimitris Nikolos On Obtaining Maximum-Length Sequences for Accumulator-Based Serial TPG. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Sukanta Das, Biplab K. Sikdar, Parimal Pal Chaudhuri Nonlinear CA Based Scalable Design of On-Chip TPG for Multiple Cores. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Patrick Girard 0001, Olivier Héron, Serge Pravossoudovitch, Michel Renovell High Quality TPG for Delay Faults in Look-Up Tables of FPGAs. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Alessandro Fin, Franco Fummi Genetic algorithms: the philosopher's stone or an effective solution for high-level TPG? Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Alessandro Fin, Franco Fummi LAERTE++: an Object Oriented High-level TPG for SystemC Designs. Search on Bibsonomy FDL The full citation details ... 2003 DBLP  BibTeX  RDF
26Xrysovalantis Kavousianos, Dimitris Bakalis, Dimitris Nikolos, Spyros Tragoudas A new built-in TPG method for circuits with random patternresistant faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Volker Meyer, Walter Anheier, Arne Sticht Non-robust delay test pattern generation based on stuck-at TPG. Search on Bibsonomy ICECS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Xiaowei Li 0001, Paul Y. S. Cheung Exploiting Deterministic TPG for Path Delay Testing. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Wenyi Feng, Wei-Kang Huang, Fred J. Meyer, Fabrizio Lombardi A BIST TPG Approach for Interconnect Testing With the IEEE 1149.1 STD. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Silvia Chiusano, Fulvio Corno, Paolo Prinetto RT-level TPG Exploiting High-Level Synthesis Information. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Seongmoon Wang, Sandeep K. Gupta 0001 LT-RTPG: a new test-per-scan BIST TPG for low heat dissipation. Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Chen-Huan Chiang, Sandeep K. Gupta 0001 BIST TPG for Combinational Cluster (Glue Logic) Interconnect Testing at Board Level. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Chih-Ang Chen, Sandeep K. Gupta Efficient BIST TPG design and test set compaction for delay testing via input reduction. Search on Bibsonomy ICCD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Chen-Huan Chiang, Sandeep K. Gupta 0001 BIST TPG for faults in system backplanes. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF BIST circuit, BIST methodology, VME backplane, edge pin connections, programmable test architecture, simple test schedule, system backplanes, built-in self test, built-in self-test, system configuration
Displaying result #1 - #100 of 135 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license