The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase bit-parallel (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1982-1992 (16) 1993-1996 (20) 1997-1998 (16) 1999-2000 (20) 2001-2002 (23) 2003 (18) 2004-2005 (42) 2006 (25) 2007 (15) 2008 (24) 2009-2010 (31) 2011-2012 (23) 2013-2014 (26) 2015-2017 (19) 2018-2019 (15) 2020-2021 (16) 2022-2023 (24) 2024 (1)
Publication types (Num. hits)
article(168) inproceedings(203) phdthesis(3)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 242 occurrences of 153 keywords

Results
Found 374 publication records. Showing 374 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
39Junbo Wang, Fei Kang, Xinlei Xu, Jingning Chen A Fast Single Pattern Matching Algorithm Based on the Bit-Parallel. Search on Bibsonomy FCST The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Sunday, KMP, BNDM, bit-parallel table, bit parallel element, pattern matching, BM
36Chiou-Yng Lee, Che Wun Chiou New Bit-Parallel Systolic Architectures for Computing Multiplication, Multiplicative Inversion and Division in GF(2m) Under Polynomial Basis and Normal Basis Representations. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF finite field, normal basis, polynomial basis, bit-parallel systolic multiplier
35Chiou-Yng Lee, Erl-Huei Lu, Jau-Yien Lee Bit-Parallel Systolic Multipliers for GF(2m) Fields Defined by All-One and Equally Spaced Polynomials. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF AOP, finite field, Bit-parallel systolic multiplier, ESP
34Sun-Mi Park, Ku-Young Chang, Dowon Hong Efficient Bit-Parallel Multiplier for Irreducible Pentanomials Using a Shifted Polynomial Basis. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF shifted polynomial basis, irreducible pentanomial, finite field arithmetic, Bit-parallel multiplier
34Ku-Young Chang, Dowon Hong, Hyun Sook Cho Low Complexity Bit-Parallel Multiplier for GF(2^m) Defined by All-One Polynomials Using Redundant Representation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Karatsuba method, AOP, finite field arithmetic, redundant representation, Bit-parallel multiplier
33Chiou-Yng Lee, Jenn-Shyong Horng, I-Chang Jou Low-Complexity Bit-Parallel Multiplier over GF(2m) Using Dual Basis Representation. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Galois field GF(2m), inner product, dual basis, bit-parallel systolic multiplier
32Pablo San Segundo, Cristóbal Tapia, Julio Puente, Diego Rodríguez-Losada A New Exact Bit-Parallel Algorithm for SAT. Search on Bibsonomy ICTAI (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Guomin Zhang, En Zhu, Ling Mao, Ming Yin A Bit-Parallel Exact String Matching Algorithm for Small Alphabet. Search on Bibsonomy FAW The full citation details ... 2009 DBLP  DOI  BibTeX  RDF matching table, matching matrix, String matching, bit-parallel
32Chiou-Yng Lee, Jenn-Shyong Horng, I-Chang Jou, Erl-Huei Lu Low-Complexity Bit-Parallel Systolic Montgomery Multipliers for Special Classes of GF(2m). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF irreducible AOP, finite field, montgomery multiplication, irreducible trinomial, Bit-parallel systolic multiplier
32Paul Pritchard A Fast Bit-Parallel Algorithm for Computing the Subset Partial Order. Search on Bibsonomy Algorithmica The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Subset graph, Subset partial order, Bit-parallel operation, Set-theoretic algorithms, Combinatorial set theory, Analysis of algorithms, Bit vector
31M. Oguzhan Külekci A Method to Overcome Computer Word Size Limitation in Bit-Parallel Pattern Matching. Search on Bibsonomy ISAAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Heikki Hyyrö, Gonzalo Navarro 0001 Bit-Parallel Witnesses and Their Applications to Approximate String Matching. Search on Bibsonomy Algorithmica The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Backward DAWG matching, Myers bit-parallel algorithm, Average-optimal string matching allowing errors, Bit-parallelism
29S. M. Mortazavi Zanjani, Somayyeh Rahimian Omam, Seid Mehdi Fakhraie, Omid Shoaei Experimental Evaluation of Different Realizations of Recursive CIC Filters. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Isaac D. Scherson, David A. Kramer, Brian D. Alleyne Bit-Parallel Arithmetic in a Massively-Parallel Associative Processor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF bit-parallel arithmetic, massively-parallel associative processor, storage cells, floating point data, VLSI, VLSI, parallel architectures, fast Fourier transform, fast Fourier transforms, digital arithmetic, matrix multiplication, multiplication, division
27Soonhak Kwon, Chang Hoon Kim, Chun Pyo Hong A systolic multiplier with LSB first algorithm over GF(2m) which is as efficient as the one with MSB first algorithm. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Kimmo Fredriksson Row-wise Tiling for the Myers' Bit-Parallel Approximate String Matching Algorithm. Search on Bibsonomy SPIRE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Robert D. Cameron A case study in SIMD text processing with parallel bit streams: UTF-8 to UTF-16 transcoding. Search on Bibsonomy PPoPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF UTF-16, UTF-8, parallel bit streams, simd text processing, transcoding
25Hannu Peltola, Jorma Tarhio Alternative Algorithms for Bit-Parallel String Matching. Search on Bibsonomy SPIRE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Huapeng Wu Low Complexity Bit-Parallel Finite Field Arithmetic Using Polynomial Basis. Search on Bibsonomy CHES The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Yun Sik Lee, Peter M. Maurer Bit-parallel multidelay simulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
25Huapeng Wu, M. Anwarul Hasan Low Complexity Bit-Parallel Multipliers for a Class of Finite Fields. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Galois or finite fields, equally spaced polynomial, dual basis, bit-parallel multiplier, all one polynomial
24Florent de Dinechin Libraries of schedule-free operators in Alpha. Search on Bibsonomy ASAP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF schedule-free operators, digital circuits synthesis, bit-level, binary implementation, bit-level dependency analysis, bit-parallel array, parallelism, digital circuits, arithmetic operators, affine recurrence equations, matrix-vector product
24Chuanhuan Yin, Shengfeng Tian, Shaomin Mu A Fast Bit-Parallel Algorithm for Gapped String Kernels. Search on Bibsonomy ICONIP (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Huapeng Wu Bit-Parallel Finite Field Multiplier and Squarer Using Polynomial Basis. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF hardware architecture, Finite fields arithmetic, polynomial basis
23Zhiyuan Yan, Dilip V. Sarwate, Zhongzhi Liu Area-efficient systolic architectures for inversions over GF(2m). Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Heikki Hyyrö, Jun Takaba, Ayumi Shinohara, Masayuki Takeda On Bit-Parallel Processing of Multi-byte Text. Search on Bibsonomy AIRS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Ocean Y. H. Cheung, Kuen Hung Tsoi, Philip Heng Wai Leong, Monk-Ping Leong Tradeoffs in Parallel and Serial Implementations of the International Data Encryption Algorithm IDEA. Search on Bibsonomy CHES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF performance-tradeoffs, reconfigurable-computing, digital-design, Cryptographic hardware
22Stefan Lemsitzer, Johannes Wolkerstorfer, Norbert Felber, Matthias Braendli Multi-gigabit GCM-AES Architecture Optimized for FPGAs. Search on Bibsonomy CHES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Galois/Counter Mode (GCM), hybrid multiplier, Field Programmable Gate Array (FPGA), Very Large Scale Integration (VLSI), Advanced Encryption Standard (AES), high throughput, digit-serial multiplier, bit-parallel multiplier
22A. S. Nepomniaschaya, Zbigniew Kokosinski Associative Graph Processor and Its Properties. Search on Bibsonomy PARELEC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF associative parallel processor, bit-parallel processing, associative graph processing, multiple-search
21Ciaran Toal, Sakir Sezer The Implementation of Scalable ATM Frame Delineation Circuits. Search on Bibsonomy ICT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Pablo San Segundo, Diego Rodríguez-Losada, Ramón Galán, Fernando Matía, Agustín Jiménez Exploiting CPU Bit Parallel Operations to Improve Efficiency in Search. Search on Bibsonomy ICTAI (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20José Luis Imaña, Román Hermida, Francisco Tirado Low Complexity Bit-Parallel Multipliers Based on a Class of Irreducible Pentanomials. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Chiou-Yng Lee, Yu-Hsin Chiu, Che Wun Chiou New Bit-Parallel Systolic Multiplier over GF(2m) Using The Modified Booth's Algorithm. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Arash Reyhani-Masoleh, M. Anwar Hasan Low Complexity Bit Parallel Architectures for Polynomial Basis Multiplication over GF(2^{m}). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19José Luis Imaña, Juan Manuel Sánchez Efficient Reconfigurable Implementation of Canonical and Normal Basis Multipliers Over Galois Fields GF(2m) Generated by AOPs. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Galois field GF(2m), canonical basis, reconfigurable hardware, normal basis, bit-parallel multiplier
19Sangho Oh, Chang Han Kim, Jongin Lim 0001, Dong Hyeon Cheon Efficient Normal Basis Multipliers in Composite Fields. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Finite field, composite field, optimal normal basis, bit-parallel multiplier
19Patrick Schaumont, Bart Vanthournout, Ivo Bolsens, Hugo De Man Synthesis of pipelined DSP accelerators with dynamic scheduling. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF DSP algorithms, FFT butterfly accelerator block, application specific DSP accelerators, highly pipelined data paths, pipelined DSP accelerator synthesis, pipelined bit-parallel hardware, silicon, scheduling, parallel architectures, application specific integrated circuits, dynamic scheduling, pipeline processing, circuit CAD, digital signal processing chips, datapath, controller architecture, network synthesis, run-time schedules
19Michael Bolotski, Thomas Simon, Carlin Vieri, Rajeevan Amirtharajah, Thomas F. Knight Jr. Abacus: a 1024 processor 8 ns SIMD array. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF bit-slice computers, Abacus, SIMD array, reconfigurable bit-parallel array, system-level design issues, real-time early vision processing, bit-slice processing element, 8 ns, real-time systems, computer vision, VLSI, parallel architectures, reconfigurable architectures, microarchitecture, VLSI implementation, communication primitives
19Francisco Rodríguez-Henríquez, Guillermo Morales-Luna, Julio César López-Hernández Low-Complexity Bit-Parallel Square Root Computation over GF(2^{m}) for All Trinomials. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Computations in finite fields, Algorithms, Computer arithmetic
18Dan Cyca, Laurence E. Turner Bit-Serial Digital Filter Implementation using a Custom C Compiler. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Isaac D. Scherson, David A. Kramer, Brian D. Alleyne A Fine-Grain Bit-Parallel, Word-Parallel, Massively-Parallel Associative Processor. Search on Bibsonomy ICPP (1) The full citation details ... 1990 DBLP  BibTeX  RDF
18Hafizur Rahaman 0001, Jimson Mathew, Dhiraj K. Pradhan Constant Function Independent Test Set for Fault Detection in Bit Parallel Multipliers in GF(2^m). Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Shiann Shiun Jeng, Hsing-Chen Lin, Shu-Ming Chang FPGA implementation of FIR filter using M-bit parallel distributed arithmetic. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Heikki Hyyrö, Yoan J. Pinzón, Ayumi Shinohara New Bit-Parallel Indel-Distance Algorithm. Search on Bibsonomy WEA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Heikki Hyyrö, Kimmo Fredriksson, Gonzalo Navarro 0001 Increased bit-parallelism for approximate and multiple string matching. Search on Bibsonomy ACM J. Exp. Algorithmics The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multiple string matching, Approximate string matching, bit-parallelism
17Takahiro Kawaguchi, Naofumi Takagi 32-Bit ALU with Clockless Gates for RSFQ Bit-Parallel Processor. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Alok Parmar, Kailash Prasad, Nanditha P. Rao, Joycee Mekie An Automated Approach to Compare Bit Serial and Bit Parallel In-Memory Computing for DNNs. Search on Bibsonomy ISCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Kyeongho Lee, Jinho Jeong, Sungsoo Cheon, Woong Choi, Jongsun Park 0001 Bit Parallel 6T SRAM In-memory Computing with Reconfigurable Bit-Precision. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
17Khalid Al-Hawaj, Olalekan Afuye, Shady Agwa, Alyssa B. Apsel, Christopher Batten Towards a Reconfigurable Bit-Serial/Bit-Parallel Vector Accelerator using In-Situ Processing-In-SRAM. Search on Bibsonomy ISCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Kyeongho Lee, Jinho Jeong, Sungsoo Cheon, Woong Choi, Jongsun Park 0001 Bit Parallel 6T SRAM In-memory Computing with Reconfigurable Bit-Precision. Search on Bibsonomy DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Kazuya Tanigawa, Ken'ichi Umeda, Tetsuo Hironaka Comparison of Bit Serial Computation with Bit Parallel Computation for Reconfigurable Processor. Search on Bibsonomy ARC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Arash Hariri, Arash Reyhani-Masoleh Bit-Serial and Bit-Parallel Montgomery Multiplication and Squaring over GF(2^m). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Krishna M. Sivalingam A Comparison of Bit-Parallel and Bit-Serial Architectures for WDM Networks. Search on Bibsonomy Photonic Netw. Commun. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17David Crook, John Fulcher A Comparison of Bit Serial and Bit Parallel DCT Designs. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Daniel Jiménez-González, Juan J. Navarro, Josep Lluís Larriba-Pey Fast parallel in-memory 64-bit sorting. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF avoid unncessary sorting, reduction of communication, load balance, parallel sorting, Radix sort
16Luigi Dadda, Vincenzo Piuri, Renato Stefanelli Multi-parallel convolvers. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Peter M. Maurer Two new techniques for unit-delay compiled simulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16Akihisa Ohta, Tsuyoshi Isshiki, Hiroaki Kunieda New FPGA Architecture for Bit-Serial Pipeline Datapath. Search on Bibsonomy FCCM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15J. Gonzalez-Torres, P. A. Mateos, J. M. Hernandez Full custom chip set for high speed serial communications up to 2.48 Gbit/s. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Leilei Song, Keshab K. Parhi Efficient Finite Field Serial/Parallel Multiplication. Search on Bibsonomy ASAP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF finite field serial/parallel multiplication, finite field arithmetic architectures, bit-serial/parallel finite field multiplier, standard basis representation, optimal primitive polynomials, minimum hardware complexity, semi-systolic architecture, computational complexity, cryptography, cryptography, encoding, digital arithmetic, polynomials, multiplying circuits, VLSI implementation, coding theory
15Rajesh Prasad, Suneeta Agarwal, Ishadutta Yadav, Bharat Singh Efficient bit-parallel multi-patterns string matching algorithms for limited expression. Search on Bibsonomy Bangalore Compute Conf. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF BNDM, multiple patterns, shift-or, algorithm, bit-parallelism
15Hiroaki Yamamoto, Daichi Takenouchi Bit-Parallel Tree Pattern Matching Algorithms for Unordered Labeled Trees. Search on Bibsonomy WADS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Huapeng Wu Bit-Parallel Polynomial Basis Multiplier for New Classes of Finite Fields. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Hafizur Rahaman 0001, Jimson Mathew, Dhiraj K. Pradhan, Abusaleh M. Jabir Derivation of Reduced Test Vectors for Bit-Parallel Multipliers over GF(2^m). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Hafizur Rahaman 0001, Jimson Mathew, Dhiraj K. Pradhan, Abusaleh M. Jabir C-testable bit parallel multipliers over GF(2m). Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF testing, cryptography, built-in self-test, digital signal processing, polynomials, VLSI design, stuck-at fault, TPG, fault, multiplier, Galois field, error control code, C-testable
15Chiou-Yng Lee, Pramod Kumar Meher Efficient Bit-Parallel Multipliers in Composite Fields. Search on Bibsonomy APSCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Gang Zhou, Li Li 0027, Harald Michalik Area optimization of bit parallel finite field multipliers with fast carry logic on FPGAS. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Dong-Yu Zheng, Yan Sun, Shao-Qing Li, Liang Fang A 485ps 64-Bit Parallel Adder in 0.18mum CMOS. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF semi-dynamic, sparse-tree, parallel prefix adder
15Jimson Mathew, Hafizur Rahaman 0001, Dhiraj K. Pradhan Efficient Testable Bit Parallel Multipliers over GF(2^m) with Constant Test set. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Hafizur Rahaman 0001, Jimson Mathew, Biplab K. Sikdar, Dhiraj K. Pradhan Transition Fault Testability in Bit Parallel Multipliers over GF(2^{m}). Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cryptography, polynomials, Multipliers, Galois field, error control code, Transition fault, C-testable
15José Luis Imaña, Juan Manuel Sánchez, Francisco Tirado Bit-Parallel Finite Field Multipliers for Irreducible Trinomials. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Finite (or Galois) fields, canonical basis, triangular basis, complexity, permutation, multiplication, cycles, matrix decomposition, transpositions, irreducible trinomials
15Heikki Hyyrö Tighter Packed Bit-Parallel NFA for Approximate String Matching. Search on Bibsonomy CIAA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Chiou-Yng Lee, Che Wun Chiou, Jim-Min Lin Concurrent Error Detection in a Bit-Parallel Systolic Multiplier for Dual Basis of GF(2m). Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cryptography, fault-tolerant computing, fault detection, finite fields, multiplier, single stuck-at fault
15Hisashi Tsuji, Akira Ishino, Masayuki Takeda A Bit-Parallel Tree Matching Algorithm for Patterns with Horizontal VLDC's. Search on Bibsonomy SPIRE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Soonhak Kwon A Low Complexity and a Low Latency Bit Parallel Systolic Multiplier over GF(2m) Using an Optimal Normal Basis of Type II. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Hiroaki Yamamoto, Takashi Miyazaki A Fast Bit-Parallel Algorithm for Matching Extended Regular Expressions. Search on Bibsonomy COCOON The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Arash Reyhani-Masoleh, M. Anwarul Hasan On Low Complexity Bit Parallel Polynomial Basis Multipliers. Search on Bibsonomy CHES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Finite or Galois field, Mastrovito multiplier, pentanomial, trinomial and equally-spaced polynomial, polynomial basis
15Heikki Hyyrö, Gonzalo Navarro 0001 Faster Bit-Parallel Approximate String Matching. Search on Bibsonomy CPM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Gunok Jung, V. A. Sundarajan, Gerald E. Sobelman A robust self-resetting CMOS 32-bit parallel adder. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Chiou-Yng Lee, Erl-Huei Lu, Jau-Yien Lee New bit-parallel systolic multipliers for a class of GF(2m). Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Henrik Ohlsson, Oscar Gustafsson, Lars Wanhammar Arithmetic transformations for increased maximal sample rate of bit-parallel bireciprocal lattice wave digital filters. Search on Bibsonomy ISCAS (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Jyh-Huei Guo, Chin-Liang Wang A low time-complexity, hardware-efficient bit-parallel power-sum circuit for finite fields GF(2M). Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Ahmed Louri An Optical Content-Adressable Parallel Processor for Fast Searching and Retrieving. Search on Bibsonomy PARLE (1) The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
15Rafal Karakiewicz, Roman Genov Minimal activity mixed-signal VLSI architecture for real-time linear transforms in video. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Kamlesh Rath, Sirisha Tangirala, Patrick Friel, Poras T. Balsara, Jose Flores, John P. Wadley Reconfigurable Array Media Processor (RAMP). Search on Bibsonomy FCCM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15J. Living, Bashir M. Al-Hashimi Mixed arithmetic architecture: a solution to the iteration bound for resource efficient FPGA and CPLD recursive digital filters. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15M. Yan, John V. McCanny, Yi Hu VLSI architectures for vector quantization. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
14Chin-Chin Chen, Chiou-Yng Lee, Erl-Huei Lu Combined circuit architecture for computing normal basis and montgomery multiplications over GF(2m). Search on Bibsonomy Mobility Conference The full citation details ... 2008 DBLP  DOI  BibTeX  RDF hankel matrix-vector, normal bases, montgomery, bit-parallel systolic multiplier
14Chiou-Yng Lee, Yung-Hui Chen, Che Wun Chiou, Jim-Min Lin Unified Parallel Systolic Multiplier Over GF(2m). Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Hankel matrix-vector multiplication, Galois field, bit-parallel systolic multiplier
14Christof Paar, Peter Fleischmann, Peter Roelse Efficient Multiplier Architectures for Galois Fields GF(2 4n). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Karatsuba Ofman, modulo reduction, multiplication, VLSI architecture, Galois fields, bit parallel, composite fields
14Jie-Yong Juang, Benjamin W. Wah A Contention-Based Bus-Control Scheme for Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF bus-control schemes, scheduling processors, scheduling, computational complexity, multiprocessor interconnection networks, multiprocessing systems, contention-based, bit-parallel, shared bus
14V. B. Fyodorov Bit-Parallel Selfrouting Optoelectronic Switching Fabrics for Massively Parallel Wide-Format Data Processing: Principle and Optical Architecture. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Free space optical interconnections, Completely connected networks, Smart pixel arrays, VCSEL technology
14Ching-Long Su, Yin-Tsung Hwang Distributed arithmetic-based architectures for high speed IIR filter design. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF high speed IIR filter, pipelining techniques, SPDM technology, parallel processing, parallel architectures, digital arithmetic, recursion, recursive filters, Distributed Arithmetic, IIR filters, DSP applications
13Siavash Bayat Sarmadi, M. Anwar Hasan On Concurrent Detection of Errors in Polynomial Basis Multiplication. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Siavash Bayat Sarmadi, M. Anwar Hasan Concurrent Error Detection of Polynomial Basis Multiplication over Extension Fields using a Multiple-bit Parity Scheme. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Siavash Bayat Sarmadi, M. Anwar Hasan Detecting errors in a polynomial basis multiplier using multiple parity bits for both inputs. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Arash Reyhani-Masoleh, M. Anwar Hasan Fault Detection Architectures for Field Multiplication Using Polynomial Bases. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF polynomial basis multiplier, Finite fields, error detection
12Hosahalli R. Srinivas, Keshab K. Parhi High-speed VLSI arithmetic processor architectures using hybrid number representation. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
11Peng Yin Choo, Abram Detofsky, Ahmed Louri The Equivalency Processing Parallel Photonic Integrated Circuit (EP3IC), a Parallel Digital Equivalence Search Module. Search on Bibsonomy AIPR The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Zbigniew Kokosinski, Bartlomiej Malus FPGA Implementations of a Parallel Associative Processor with Multi-Comparand Multi-Search Operations. Search on Bibsonomy ISPDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 374 (100 per page; Change: )
Pages: [1][2][3][4][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license