The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase equivalence-Checking (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1979-1996 (18) 1997-1998 (17) 1999 (15) 2000 (19) 2001 (16) 2002 (21) 2003-2004 (39) 2005 (42) 2006 (29) 2007 (26) 2008 (36) 2009 (24) 2010 (16) 2011-2012 (22) 2013 (15) 2014-2015 (29) 2016 (19) 2017-2018 (23) 2019 (18) 2020-2021 (28) 2022 (26) 2023 (16) 2024 (1)
Publication types (Num. hits)
article(126) incollection(1) inproceedings(380) phdthesis(8)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 245 occurrences of 144 keywords

Results
Found 515 publication records. Showing 515 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
69In-Ho Moon, Per Bjesse, Carl Pixley A compositional approach to the combination of combinational and sequential equivalence checking of circuits without known reset states. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
67Radu Mateescu 0001, Emilie Oudot Improved On-the-Fly Equivalence Checking Using Boolean Equation Systems. Search on Bibsonomy SPIN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
66Tathagato Rai Dastidar, P. P. Chakrabarti 0001 A verification system for transient response of analog circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Ana CTL, model checking, query language, Analog circuits, equivalence checking, transient response
64Pankaj Chauhan, Deepak Goyal, Gagan Hasteer, Anmol Mathur, Nikhil Sharma Non-cycle-accurate sequential equivalence checking. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF unit product machine, model checking, formal verification, high level synthesis, sequential equivalence checking
64Hee-Hwan Kwak, In-Ho Moon, James H. Kukula, Thomas R. Shiple Combinational equivalence checking through function transformation. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF combinational verification, equivalence checking
61Narayanan Krishnamurthy, Jayanta Bhadra, Magdy S. Abadir, Jacob A. Abraham Is State Mapping Essential for Equivalence Checking Custom Memories in Scan-Based Designs? Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
59Dan Zhu, Tun Li, Yang Guo 0003, Sikun Li 2D Decomposition Sequential Equivalence Checking of System Level and RTL Descriptions. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cutpoints, Program slicing, Sequential equivalence checking
59Stefan Disch, Christoph Scholl 0001 Combinational Equivalence Checking Using Incremental SAT Solving, Output Ordering, and Resets. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF shared circuit structures, incremental SAT techniques, bounded model checking, combinational equivalence checking
57Bijan Alizadeh, Masahiro Fujita Automatic Merge-Point Detection for Sequential Equivalence Checking of System-Level and RTL Descriptions. Search on Bibsonomy ATVA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Formal Verification, System on a Chip (SoC), Communication System, Canonical Representation, Sequential Equivalence Checking
56Vishwani D. Agrawal Choice of Tests for Logic Verification and Equivalence Checking. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fault simulation, Equivalence checking, Hamming codes, logic verification
56Hiroaki Yoshida, Masahiro Fujita Improving the accuracy of rule-based equivalence checking of system-level design descriptions by identifying potential internal equivalences. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
55Takeshi Matsumoto, Hiroshi Saito, Masahiro Fujita Equivalence Checking of C Programs by Locally Performing Symbolic Simulation on Dependence Graphs. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
53Pascal Urard, Asma Maalej, Roberto Guizzetti, Nitin Chawla Leveraging sequential equivalence checking to enable system-level to RTL flows. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF formal verification, high-level synthesis, equivalence checking, system-level models, RTL models
53Li Tan An Abstract Schema for Equivalence-Checking Games. Search on Bibsonomy VMCAI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
51Demos Anastasakis, Lisa McIlwain, Slawomir Pilarski Efficient equivalence checking with partitions and hierarchical cut-points. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF verification, logic design, equivalence checking
51Simon Jolly, Atanas N. Parashkevov, Tim McDougall Automated equivalence checking of switch level circuits . Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF MOS circuits, custom design, switch level analysis, formal verification, VLSI design, equivalence checking
51Pranav Ashar, Aarti Gupta, Sharad Malik Using complete-1-distinguishability for FSM equivalence checking. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Bisimulation relation, complete-1-distinguishability, finite state machine equivalence, sequential logic synthesis, equivalence checking
51João Marques-Silva 0001, Thomas Glass Combinational Equivalence Checking Using Satisfiability and Recursive Learning. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Boolean Satisfiability, Recursive Learning, Combinational Equivalence Checking
50Gabriel P. Bischoff, Karl S. Brace, Gianpiero Cabodi A Compositional Approach for Equivalence Checking of Sequential Circuits with Unknown Reset State and Overlapping Partitions. Search on Bibsonomy EUROCAST The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
50Shobha Vasudevan, Jacob A. Abraham, Vinod Viswanath, Jiajin Tu Automatic decomposition for sequential equivalence checking of system level and RTL descriptions. Search on Bibsonomy MEMOCODE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
50Gagan Hasteer, Anmol Mathur, Prithviraj Banerjee Efficient equivalence checking of multi-phase designs using phase abstraction and retiming. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF binary decision, encoding density, multi-phase FSM, product machine, sequential hardware equivalence, diagram, steady states
48Dirk W. Hoffmann, Thomas Kropf Automatic Error Correction of Large Circuits Using Boolean Decomposition and Abstraction. Search on Bibsonomy CHARME The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Automatic error correction, design error diagnosis, formal methods, equivalence checking
48In-Ho Moon Compositional verification of retiming and sequential optimizations. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF conditional equivalence, retime offset, sequential equivalence, retiming, compositional verification
47Eugene Goldberg On equivalence checking and logic synthesis of circuits with a common specification. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF common specification, scalable equivalence checking, scalable logic synthesis, toggle equivalence
47Subash Shankar, Masahiro Fujita Rule-Based Approaches for Equivalence Checking of SpecC Programs. Search on Bibsonomy MEMOCODE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
47Masahiro Fujita Behavior-RTL Equivalence Checking Based on Data Transfer Analysis with Virtual Controllers and Datapaths. Search on Bibsonomy CHARME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
46Xiaowei Li 0001, Guanghui Li 0001, Ming Shao Formal Verification Techniques Based on Boolean Satisfiability Problem. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF incremental satisfiability, minimal unsatisfiable formula, model checking, equivalence checking
45Shih-Chieh Wu, Chun-Yao Wang, Yung-Chih Chen Novel Probabilistic Combinational Equivalence Checking. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
43Yexin Zheng, Michael S. Hsiao, Chao Huang SAT-based equivalence checking of threshold logic designs for nanotechnologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SAT, nanotechnology, equivalence checking, threshold logic
43Tejaswi Gowda, Sarma B. K. Vrudhula, Goran Konjevod Combinational equivalence checking for threshold logic circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF nano devices, EDA, equivalence checking, threshold logic
43Philippe Georgelin, Venkat Krishnaswamy Towards a C++-based design methodology facilitating sequential equivalence checking. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF modeling methodology, sequential equivalence checking
43Paul Tafertshofer, Andreas Ganz, Manfred Henftling A SAT-based implication engine for efficient ATPG, equivalence checking, and optimization of netlists. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF SAT-based implication engine, circuit clause description, efficient ATPG, implication evaluation, indirect implications, netlist optimization, structure based methods, graph algorithms, automatic testing, logic circuits, graph model, equivalence checking, implication graph
43Nicola Bombieri, Franco Fummi, Graziano Pravadelli, João Marques-Silva 0001 Towards Equivalence Checking Between TLM and RTL Models. Search on Bibsonomy MEMOCODE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43Malay Haldar, Gagandeep Singh, Saurabh Prabhakar, Basant Dwivedi, Antara Ghosh Construction of concrete verification models from C++. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF formal verification, C++, pointers, equivalence checking, dynamic memory allocation
42In-Ho Moon, Carl Pixley Non-miter-based Combinational Equivalence Checking by Comparing BDDs with Different Variable Orders. Search on Bibsonomy FMCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
42Eugene Goldberg, Kanupriya Gulati On Complexity of Internal and External Equivalence Checking. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
42Henrik Hulgaard, Poul Frederick Williams, Henrik Reif Andersen Equivalence checking of combinational circuits using Boolean expression diagrams. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
39Jason Baumgartner, Hari Mony, Michael L. Case, Jun Sawada, Karen Yorav Scalable conditional equivalence checking: An automated invariant-generation based approach. Search on Bibsonomy FMCAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
38Radu Mateescu 0001, Emilie Oudot Bisimulator 2.0: An On-the-Fly Equivalence Checker based on Boolean Equation Systems. Search on Bibsonomy MEMOCODE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
38Changrui Yu, Hongwei Wang 0009, Yan Luo Extended Ontology Model and Ontology Checking Based on Description Logics. Search on Bibsonomy FSKD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
37Jacob A. Abraham, Daniel G. Saab Tutorial T4A: Formal Verification Techniques and Tools for Complex Designs. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
37Jie-Hong Roland Jiang, Wei-Lun Hung Inductive equivalence checking under retiming and resynthesis. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
37Alfred Kölbl, Jerry R. Burch, Carl Pixley Memory Modeling in ESL-RTL Equivalence Checking. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
37Mohammad Ali Ghodrat, Tony Givargis, Alexandru Nicolau Expression equivalence checking using interval analysis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
37Eugene Goldberg Equivalence Checking of Circuits with Parameterized Specifications. Search on Bibsonomy SAT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Mohammad Ali Ghodrat, Tony Givargis, Alexandru Nicolau Equivalence checking of arithmetic expressions using fast evaluation. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF expression equivalence, mutual exclusion, interval analysis
37Damien Bergamini, Nicolas Descoubes, Christophe Joubert, Radu Mateescu 0001 BISIMULATOR: A Modular Tool for On-the-Fly Equivalence Checking. Search on Bibsonomy TACAS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Dominik Stoffel, Wolfgang Kunz Equivalence checking of arithmetic circuits on the arithmetic bit level. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
37C. A. J. van Eijk Sequential equivalence checking based on structural similarities. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
37Mitchell A. Thornton, Rolf Drechsler, Wolfgang Günther 0001 A Method for Approximate Equivalence Checking. Search on Bibsonomy ISMVL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
37C. A. J. van Eijk Sequential Equivalence Checking without State Space Traversal. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
36Xiushan Feng, Alan J. Hu Early cutpoint insertion for high-level software vs. RTL formal combinational equivalence verification. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cutpoints, formal equivalence checking, software, RTL
36Dominik Stoffel, Wolfgang Kunz Record & play: a structural fixed point iteration for sequential circuit verification. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF circuit resynthesis, circuit retiming, combinational verification techniques, instruction queue, iterative circuit array, local circuit transformation, sequential circuit verification, sequential logic equivalence checking, structural fixed point iteration, time frame equivalence, logic testing, finite state machine, logic design
36Zurab Khasidashvili, Daher Kaiss, Doron Bustan A compositional theory for post-reboot observational equivalence checking of hardware. Search on Bibsonomy FMCAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
36Andreas Kuehlmann, Viresh Paruthi, Florian Krohm, Malay K. Ganai Robust Boolean reasoning for equivalence checking and functional property verification. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Mark Horowitz, Metha Jeeradit, Frances Lau, Sabrina Liao, ByongChan Lim, James Mao Fortifying analog models with equivalence checking and coverage analysis. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF analog validation, model-first design, design methodology, fault coverage, equivalence checking, formal validation
35Masahiro Fujita Equivalence checking between behavioral and RTL descriptions with virtual controllers and datapaths. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF formal verification, High-level synthesis, equivalence checking, behavior synthesis
35Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen, Chung-Yang Huang, Forrest Brewer AQUILA: An Equivalence Checking System for Large Sequential Designs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF state exploration, formal verification, Design verification, equivalence checking
35Aarti Gupta, Pranav Ashar Integrating a Boolean Satisfiability Checker and BDDs for Combinational Equivalence Checking. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF ATPG techniques, circuit similarity, formal verification, combinational circuits, Boolean satisfiability (SAT), combinational equivalence checking, Binary Decision Diagrams (BDDs)
35Alexandre V. Bystrov, I. B. Verbistskaite Implementing Model Checking and Equivalence Checking for Time Petri Nets by the RT-MEC Tool. Search on Bibsonomy PaCT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
35Dirk W. Hoffmann, Thomas Kropf Automatic Error Correction of Tri-State Circuits. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Automatic error correction, tri-states, fault diagnosis, BDDs, equivalence checking
34Alan Mishchenko, Satrajit Chatterjee, Robert K. Brayton, Niklas Eén Improvements to combinational equivalence checking. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34K. C. Shashidhar, Maurice Bruynooghe, Francky Catthoor, Gerda Janssens Functional Equivalence Checking for Verification of Algebraic Transformations on Array-Intensive Source Code. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Jiunn-Chern Chen, Yirng-An Chen Equivalence checking of integer multipliers. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
32Nikolaos D. Liveris, Hai Zhou 0001, Prithviraj Banerjee Complete-k-distinguishability for retiming and resynthesis equivalence checking without restricting synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
32Brian Kahne, Magdy S. Abadir Retiming Verification Using Sequential Equivalence Checking. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Vladimir A. Zakharov, Ivan Zakharyaschev On the Equivalence-Checking Problem for a Model of Programs Related with Multi-tape Automata. Search on Bibsonomy CIAA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Rajiv V. Joshi, Wei Hwang, Andreas Kuehlmann Design Of Provably Correct Storage Arrays. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Changrui Yu, Yan Luo Term Consistency Checking of Ontology Model Based on Description Logics. Search on Bibsonomy KSEM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Sean Safarpour, Görschwin Fey, Andreas G. Veneris, Rolf Drechsler Utilizing don't care states in SAT-based bounded sequential problems. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF don't care states, unreachable states, satisfiability, bounded model checking, sequential equivalence checking
30Subash Chandar G., S. Vaideeswaran Addressing verification bottlenecks of fully synthesized processor cores using equivalence checkers. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Atsushi Moritomo, Kiyoharu Hamaguchi, Toshinobu Kashiwabara Validity Checking for Quantifier-Free First-Order Logic with Equality Using Substitution of Boolean Formulas. Search on Bibsonomy ATVA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Sven Verdoolaege, Gerda Janssens, Maurice Bruynooghe Equivalence Checking of Static Affine Programs Using Widening to Handle Recurrences. Search on Bibsonomy CAV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Fabrício Vivas Andrade, Leandro Maia Silva, Antônio Otávio Fernandes Improving SAT-based Combinational Equivalence Checking through circuit preprocessing. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Dominik Stoffel, Markus Wedler, Peter Warkentin, Wolfgang Kunz Structural FSM traversal. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Tadaaki Tanimoto, Suguru Sasaki, Akio Nakata, Teruo Higashino A Global Timed Bisimulation Preserving Abstraction for Parametric Time-Interval Automata. Search on Bibsonomy ATVA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Radu Mateescu 0001 CAESAR_SOLVE: A generic library for on-the-fly resolution of alternation-free Boolean equation systems. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Boolean equation system, Verification, Temporal logic, Bisimulation, Partial-order reduction
27Fabrício Vivas Andrade, Leandro Maia Silva, Antônio Otávio Fernandes BenCGen: a digital circuit generation tool for benchmarks. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF benchmarks, sat solvers, combinational equivalence checking
27Kelvin Ng Challenges in using system-level models for RTL verification. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF simulation, equivalence checking, system-level model, RTL models
27Kai-Hui Chang, Igor L. Markov, Valeria Bertacco Fixing Design Errors with Counterexamples and Resynthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF simulation-based verification, digital design errors, error-correction framework, resynthesis techniques, goal-directed search, entropy-guided search, counterexamples, digital designs, combinational equivalence-checking
27Chandan Karfa, Dipankar Sarkar 0001, Chittaranjan A. Mandal, Chris Reade Hand-in-hand verification of high-level synthesis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FSMD model, formal verification, high-level synthesis, equivalence checking
27Tao Feng 0012, Li-C. Wang, Kwang-Ting Cheng, Chih-Chan Lin Using 2-domain partitioned OBDD data structure in an enhanced symbolic simulator. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Formal verification, equivalence checking, symbolic simulation
27Maher N. Mneimneh, Karem A. Sakallah Principles of Sequential-Equivalence Verification. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF conceptual and algorithmic approache, ATPG, sequential-equivalence checking, satisfiability solvers
27Kelvin Ng, Mukul R. Prasad, Rajarshi Mukherjee, Jawahar Jain Solving the latch mapping problem in an industrial setting. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF latch mapping, combinational equivalence checking
27Wael M. Elseaidy, Rance Cleaveland A tool for modeling and verifying real-time systems. Search on Bibsonomy ICECCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF real-time systems verification, verification environment, graphical design la, Modechart, textually based language, Temporal CCS, system minimization, active structural control systems, real-time systems, formal verification, software tools, visual languages, equivalence checking, modeling tool
27Martin Gebser, Torsten Schaub, Hans Tompits, Stefan Woltran Alternative Characterizations for Program Equivalence under Answer-Set Semantics Based on Unfounded Sets. Search on Bibsonomy FoIKS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Michele Boreale, Rocco De Nicola, Rosario Pugliese Proof Techniques for Cryptographic Processes. Search on Bibsonomy LICS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Logical aspects of protocol security, Formal methods, Semantics, Concurrency
26Marc Herbstritt, Vanessa Struve, Bernd Becker 0001 Application of Lifting in Partial Design Analysis. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Tasuku Nishihara, Takeshi Matsumoto, Masahiro Fujita Equivalence Checking with Rule-Based Equivalence Propagation and High-Level Synthesis. Search on Bibsonomy HLDVT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Weixin Wu, Michael S. Hsiao Mining Global Constraints With Domain Knowledge for Improving Bounded Sequential Equivalence Checking. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Udo Krautz, Markus Wedler, Wolfgang Kunz, Kai Weber 0001, Christian Jacobi 0002, Matthias Pflanz Verifying full-custom multipliers by Boolean equivalence checking and an arithmetic bit level proof. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Carl Pixley Practical Considerations Concerning HL-to -RT Equivalence Checking. Search on Bibsonomy Haifa Verification Conference The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Shih-Chieh Wu, Chun-Yao Wang PEACH: A Novel Architecture for Probabilistic Combinational Equivalence Checking. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Weixin Wu, Michael S. Hsiao Mining global constraints for improving bounded sequential equivalence checking. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multi-node constraint, SAT, mining
24Wei Huang, Pushan Tang, Min Ding 0004 Sequential equivalence checking using cuts. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Mona Safar, M. Watheq El-Kharashi, Ashraf Salem An FPGA Based Accelerator for SAT Based Combinational Equivalence Checking. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Lun Li, Mitchell A. Thornton, Stephen A. Szygenda A Genetic Approach for Conjunction Scheduling in Symbolic Equivalence Checking. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Prabhat Mishra 0001, Nikil D. Dutt A Methodology for Validation of Microprocessors using Equivalence Checking. Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Zhan Xu, Xiaolang Yan, Yongjiang Lu, Haitong Ge Equivalence Checking Using Independent Cuts. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Petr Jancar, Antonín Kucera 0001, Faron Moller, Zdenek Sawa Equivalence-Checking with One-Counter Automata: A Generic Method for Proving Lower Bounds. Search on Bibsonomy FoSSaCS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 515 (100 per page; Change: )
Pages: [1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license