The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase flip-flop (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1952-1975 (16) 1976-1986 (15) 1987-1991 (17) 1993-1995 (20) 1996-1997 (17) 1998-1999 (26) 2000-2001 (32) 2002 (23) 2003 (23) 2004 (28) 2005 (41) 2006 (40) 2007 (52) 2008 (38) 2009 (34) 2010 (24) 2011 (27) 2012 (38) 2013 (32) 2014 (40) 2015 (36) 2016 (34) 2017 (29) 2018 (41) 2019 (36) 2020 (32) 2021 (32) 2022 (20) 2023 (35) 2024 (3)
Publication types (Num. hits)
article(330) data(1) incollection(1) inproceedings(548) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 351 occurrences of 207 keywords

Results
Found 881 publication records. Showing 881 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
193Kuo-Hsing Cheng, Yung-Hsiang Lin A dual-pulse-clock double edge triggered flip-flop for low voltage and high speed application. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
160Arunprasad Venkatraman, Rajesh Garg, Sunil P. Khatri A robust, fast pulsed flip-flop design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF flip-flop, latch
159Saihua Lin, Huazhong Yang, Rong Luo High Speed Soft-Error-Tolerant Latch and Flip-Flop Design for Multiple VDD Circuit. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
158Pramod Kumar Meher Extended Sequential Logic for Synchronous Circuit Optimization and Its Applications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
155Kwang-Ting Cheng Partial scan designs without using a separate scan clock. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF flip-flop selection method, flip-flop test generation method, scan registers ordering, scan-shifting concept, test vector compaction, delay fault detection, cycle breaking, logic testing, delays, timing, design for testability, logic design, automatic testing, DFT, fault coverage, flip-flops, circuit optimisation, boundary scan testing, scan chain, combinatorial optimization problem, test generation algorithm, partial scan designs, system clock
146Liam P. Maguire, T. Martin McGinnity, L. J. McDaid From a Fuzzy Flip-Flop to a MVL Flip-Flop. Search on Bibsonomy ISMVL The full citation details ... 1999 DBLP  DOI  BibTeX  RDF MVL flip-flop, MOS implementation, fuzzy reasoning
141Aliakbar Ghadiri, Hamid Mahmoodi-Meimand Pre-capturing static pulsed flip-flops. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
140Amit Jain, David T. Blaauw Slack borrowing in flip-flop based sequential circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF timing analysis
136Saihua Lin, Huazhong Yang, Rong Luo A New Family of Sequential Elements With Built-in Soft Error Tolerance for Dual-VDD Systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
133Motoi Inaba, Koichi Tanno, Okihiko Ishizuka Multi-Valued Flip-Flop with Neuron-CMOS NMIN Circuits. Search on Bibsonomy ISMVL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Multi-valued flip-flop, Down literal circuit, Analog inverter, Voltage comparator, NMIN circuit
121Fatemeh Aezinia, Ali Afzali-Kusha, Caro Lucas Optimizing High Speed Flip-Flop Using Genetic Algorithm. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
121Tolga Yalçin, Neslin Ismailoglu Design of a fully-static differential low-power CMOS flip-flop. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
120Kee Sup Kim, Charles R. Kime Partial scan flip-flop selection by use of empirical testability. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF scan flip-flop selection, serial scan, design for testability, testability, partial scan
119Marius Padure, Sorin Cotofana, Stamatis Vassiliadis Design and experimental results of a CMOS flip-flop featuring embedded threshold logic. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
119Bill Pontikakis, Mohamed Nekili A novel double edge-triggered pulse-clocked TSPC D flip-flop for high-performance and low-power VLSI design applications. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
117Bo Fu, Paul Ampadu Comparative Analysis of Ultra-Low Voltage Flip-Flops for Energy Efficiency. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
113Mohamed A. Elgamel, Tarek Darwish, Magdy A. Bayoumi Noise Tolerant Low Power Dynamic TSPCL D Flip-Flops. Search on Bibsonomy ISVLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF noise, power, flip-flop, deep submicron
111A. S. Seyedi, S. H. Rasouli, Amir Amirabadi, Ali Afzali-Kusha Clock Gated Static Pulsed Flip-Flop (CGSPFF) in Sub 100 nm Technology. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
111A. S. Seyedi, S. H. Rasouli, Amir Amirabadi, Ali Afzali-Kusha Low power low leakage clock gated static pulsed flip-flop. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
105Jun Seomun, Jae-Hyun Kim, Youngsoo Shin Skewed Flip-Flop and Mixed-Vt Gates for Minimizing Leakage in Sequential Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
104Jason P. Hurst, Nick Kanopoulos Flip-flop sharing in standard scan path to enhance delay fault testing of sequential circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF flip-flop sharing, standard scan path, standard scan path design, two-vector test sets, VLSI, fault diagnosis, logic testing, delays, design for testability, logic design, sequential circuits, sequential circuits, flip-flops, integrated logic circuits, sequential machines, delay fault testing
95Gerard Elineau, Werner Wiesbeck An New J-K Flip-Flop for Synchronizers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1977 DBLP  DOI  BibTeX  RDF Arbiter circuit, data intersection, tunnel diode flip-flop, flip-flop, synchronizer circuit
95Leo Sintonen A Clocked Multivalued Flip-Flop. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1977 DBLP  DOI  BibTeX  RDF N-valued logic design, N-valued logic devices, N-state flip-flop, multivalued flip-flop, multivalued sequential circuits
93Mohammad Hosseinabady, Pejman Lotfi-Kamran, Giorgio Di Natale, Stefano Di Carlo, Alfredo Benso, Paolo Prinetto Single-Event Upset Analysis and Protection in High Speed Circuits. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
92Chul Soo Kim, Joo-Seong Kim, Bai-Sun Kong, Yongsam Moon, Young-Hyun Jun Presetting pulse-based flip-flop. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
92Yinshui Xia, Lun-Yao Wang, A. E. A. Almaini A Novel Multiple-Valued CMOS Flip-Flop Employing Multiple-Valued Clock. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multiple-valued clock, CMOS, flip-flops, multiple-valued logic
92Antonio G. M. Strollo, Davide De Caro, Ettore Napoli, Nicola Petra A novel high-speed sense-amplifier-based flip-flop. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
92Ruibing Lu, Guoan Zhong, Cheng-Kok Koh, Kai-Yuan Chao Flip-Flop and Repeater Insertion for Early Interconnect Planning. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
92Mark Vesterbacka A robust differential scan flip-flop. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
92Matthew Cooke, Hamid Mahmoodi-Meimand, Kaushik Roy 0001 Energy recovery clocking scheme and flip-flops for ultra low-energy applications. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF flip-flop, clock, clock tree, energy recovery, adiabatic
91Bing-Chuan Bai, Augusli Kifli, Chien-Mo James Li, Kun-Cheng Wu Fault modeling and testing of retention flip-flops in low power designs. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
90Nikola Nedovic, Marko Aleksic, Vojin G. Oklobdzija Conditional pre-charge techniques for power-efficient dual-edge clocking. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF clocked storage elements, dual edge-triggered flip-flop, power consumption, clocking, clock distribution
89Jun Seomun, Jaehyun Kim, Youngsoo Shin Skewed Flip-Flop Transformation for Minimizing Leakage in Sequential Circuits. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
89Sang-Dae Shin, Hun Choi, Bai-Sun Kong Variable sampling window flip-flop for low-power application. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
87Jing Wang 0001, Gianluca Meloni, Gianluca Berrettini, Luca Potì, Antonella Bogoni All-Optical Clocked Flip-Flops Exploiting SOA-Based SR Latches and Logic Gates. Search on Bibsonomy OSC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optical flip-flop, optical logic gate, optical signal processing, semiconductor optical amplifier (SOA)
87Fujio Ishihara, Farhana Sheikh, Borivoje Nikolic Level conversion for dual-supply systems. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF level conversion, flip-flop, dual-supply voltage
84Seongmoo Heo, Ronny Krashinsky, Krste Asanovic Activity-Sensitive Flip-Flop and Latch Selection for Reduced Energy. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
84Zhong-Ching Lu, Ting-Chi Wang Concurrent flip-flop and buffer insertion with adaptive blockage avoidance. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
84Seongmoo Heo, Ronny Krashinsky, Krste Asanovic Activity-Sensitive Flip-Flop and Latch Selection for Reduced Energy. Search on Bibsonomy ARVLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
83Omid Sarbishei, Mohammad Maymandi-Nejad Power-Delay Efficient Overlap-Based Charge-Sharing Free Pseudo-Dynamic D Flip-Flops. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
81Håvard Pedersen Alstad, Snorre Aunet Three Subthreshold Flip-Flop Cells Characterized in 90 nm and 65 nm CMOS Technology. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
81S. H. Rasouli, Amir Amirabadi, A. Seyedi, Ali Afzali-Kusha Double edge triggered Feedback Flip-Flop in sub 100NM technology. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
79Jingye Xu, Abinash Roy, Masud H. Chowdhury Power Consumption Analysis of Flip-flop Based Interconnect Pipelining. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
77Chen Kong Teh, Mototsugu Hamada, Tetsuya Fujita, Hiroyuki Hara, N. Ikumi, Yukihito Oowaki Conditional Data Mapping Flip-Flops for Low-Power and High-Performance Systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
75Roystein Oliveira, Aditya Jagirdar, Tapan J. Chakraborty A TMR Scheme for SEU Mitigation in Scan Flip-Flops. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
74Jaehyun Kim, Youngsoo Shin Minimizing leakage power in sequential circuits by using mixed Vt flip-flops. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
73Rubil Ahmadi A Hold Friendly Flip-Flop For Area Recovery. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
73Fulvio Corno, Paolo Prinetto, Matteo Sonza Reorda, Massimo Violante Exploiting Symbolic Techniques for Partial Scan Flip Flop Selection. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
72Aliakbar Ghadiri, Hamid Mahmoodi-Meimand Dual-Edge Triggered Static Pulsed Flip-Flops. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
72Dong Xiang, Yi Xu Partial Reset for Synchronous Sequential Circuits Using Almost Independent Reset Signals. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
72Tapan J. Chakraborty, Vishwani D. Agrawal, Michael L. Bushnell On variable clock methods for path delay testing of sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
71Gustavo Neuberger, Gilson I. Wirth, Ricardo Reis 0001 Protecting digital circuits against hold time violation due to process variability. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF flip-flop characterization, hold time violations, race immunity, clock skew, process variability
68Branka Medved Rogina, Bozidar Vojnovic Metastability evaluation method by propagation delay distribution measurement. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF propagation delay distribution measurement, edge-triggered flip-flops, input signals time relationship, output signal timing characteristics, analytical representation, propagation delay density distribution function, fault events, integrated propagation delay density distribution function, flip-flop normal propagation delay, resolution time constant, automatic data acquisition, complex architecture microsystems, MTBF, latch devices, custom CMOS, VLSI, VLSI, fault diagnosis, logic testing, delays, logic design, asynchronous circuits, flip-flops, data acquisition, failure analysis, reliability analysis, graphical representation, metastability, PLD, asynchronous logic, integrated circuit reliability, statistical measurement
67G. Lacroix, Philippe Marchegay, G. Piel Comments on "The Anomalous Behavior of Flip-Flops in Synchronizer Circuits". Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1982 DBLP  DOI  BibTeX  RDF uncertainty interval, Anomalous response of flip-flop, flip-flop metastable state, asynchronous interactions, synchronizer failures
66Xiaoding Chen, Michael S. Hsiao Energy-Efficient Logic BIST Based on State Correlation Analysis. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
66Chau-Shen Chen, TingTing Hwang Layout Driven Selection and Chaining of Partial Scan Flip-Flops. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF design for testability, matching, placement, global routing, partial scan, digital testing, layout optimization
65Dong-Shong Liang, Kwang-Jow Gan New D-Type Flip-Flop Design Using Negative Differential Resistance Circuits. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF negative differential resistance(NDR), monostable-bistable transition logic elements(MOBILE)
65Saihua Lin, Rong Luo, Huazhong Yang, Hui Wang 0004 A 0.9V 10GHz 71µW Static D Flip-flop by using FinFET Devices. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
65Myint Wai Phyu, Wang Ling Goh, Kiat Seng Yeo A low-power static dual edge-triggered flip-flop using an output-controlled discharge configuration. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
65Hui Zhang, Pinaki Mazumder Design of a new sense amplifier flip-flop with improved power-delay-product. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
65Stephan Henzler, Georg Georgakos, Jörg Berthold, Doris Schmitt-Landsiedel Single Supply Voltage High-Speed Semi-dynamic Level-Converting Flip-Flop with Low Power and Area Consumption. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
65Jacek Kluska, Zbigniew Hajduk Digital Implementation of Fuzzy Petri Net Based on Asynchronous Fuzzy RS Flip-Flop. Search on Bibsonomy ICAISC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
65Satoshi Sakaidani, Naoto Miyamoto, Tadahiro Ohmi Flexible processor based on full-adder/ d-flip-flop merged module. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
65Chulwoo Kim, Sung-Mo Kang A low-power reduced swing single clock flip-flop. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
65Nikola Nedovic, Vojin G. Oklobdzija Dynamic Flip-Flop with Improved Power. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
65Richard F. Hobson, Allan R. Dyck A Multiple-Input Single-Phase Clock Flip-Flop Family. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
65Hideaki Matsuzaki, Toshihiro Itoh, Masafumi Yamamoto A Novel High-Speed Flip-Flop Circuit Using RTDs and HEMTs. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
64Raúl Jiménez, Pilar Parra Fernández, Javier Castro-Ramirez, Manuel Sanchez-Raya, Antonio J. Acosta 0001 Optimization of Master-Slave Flip-Flops for High-Performance Applications. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
63Yen-Ting Liu, Lih-Yih Chiou, Soon-Jyh Chang Energy-efficient adaptive clocking dual edge sense-amplifier flip-flop. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
63Pradeep Varma, Ashutosh Chakraborty Low-Voltage, Double-Edge-Triggered Flip Flop. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
63Yiannis Moisiadis, Ilias Bouras, Angela Arapoyanni, Lampros Dermentzoglou A high-performance low-power static differential double edge-triggered flip-flop. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
61Uthman Alsaiari, Resve A. Saleh Partitioning for Selective Flip-Flop Redundancy in Sequential Circuits. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Partitioning, Redundancy, Yield, Flip-Flop
61Dong Xiang, Kaiwei Li, Hideo Fujiwara Design for Cost Effective Scan Testing by Reconfiguring Scan Flip-Flops. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
61Subhasish Mitra, Edward J. McCluskey Design Diversity for Concurrent Error Detection in Sequential Logic Circuts. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
60Vladimir Stojanovic, Vojin G. Oklobdzija, Raminder Singh Bajwa A unified approach in the analysis of latches and flip-flops for low-power systems. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF master-slave latch, optimization, timing, flip-flop, power measurement
58Safar Hatami, Hamed Abrishami, Massoud Pedram Statistical timing analysis of flip-flops considering codependent setup and hold times. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF codependency, hold time, piecewise linear, statistical static timing analysis (SSTA), probability, process variations, setup time
58Vivek Joshi, David T. Blaauw, Dennis Sylvester Soft-edge flip-flops for improved timing yield: design and optimization. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
58Hsing-Chung Liang, Chung-Len Lee An Effective Methodology for Mixed Scan and Reset Design Based on Test Generation and Structure of Sequential Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
56Eric L. Hill, Mikko H. Lipasti Transparent mode flip-flops for collapsible pipelines. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
56Ben Choi 0002, Kunal Tipnis New Components for Building Fuzzy Logic Circuits. Search on Bibsonomy FSKD (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
56Chao Yan 0001, Mark R. Greenstreet Circuit Level Verification of a High-Speed Toggle. Search on Bibsonomy FMCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
56Fatemeh Aezinia, S. Najafzadeh, Ali Afzali-Kusha Novel High Speed and Low Power Single and Double Edge-Triggered Flip-Flops. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
56Clint Morgan, Darko Stefanovic, Cristopher Moore, Milan N. Stojanovic Building the Components for a Biomolecular Computer. Search on Bibsonomy DNA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
56Parag K. Lala, Anup Singh, Alvernon Walker A CMOS-Based Logic Cell for the Implementation of Self-Checking FPGAs. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF DCVSL, Stuck-ON/OFF, Stuck-at Faults, Self-testing
56Mitsunori Ebara, Kodai Yamada, Jun Furuta, Kazutoshi Kobayashi Comparison of Radiation Hardness of Stacked Transmission-Gate Flip Flop and Stacked Tristate-Inverter Flip Flop in a 65 nm Thin BOX FDSOI Process. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
56Motoki Tokumasu, Hiroshige Fujii, Masako Ohta, Tsunealu Fuse, Atsushi Kameyama A new reduced clock-swing flip-flop: NAND-type keeper flip-flop (NDKFF). Search on Bibsonomy CICC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
55Peiyi Zhao, Jason McNeely, Pradeep Golconda, Magdy A. Bayoumi, Robert A. Barcenas, Weidong Kuang Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
55Gustavo Neuberger, Fernanda Gusmão de Lima Kastensmidt, Ricardo Reis 0001, Gilson I. Wirth, Ralf Brederlow, Christian Pacha Statistical analysis of systematic and random variability of flip-flop race immunity in 130nm and 90nm CMOS technologies. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
55Praveen Elakkumanan, Kishan Prasad, Ramalingam Sridhar Time Redundancy Based Scan Flip-Flop Reuse To Reduce SER Of Combinational Logic. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
55Peiyi Zhao, Pradeep Kumar Golconda, C. Archana, Magdy A. Bayoumi A Double-Edge Implicit-Pulsed Level Convert Flip-Flop. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
55Lucanus J. Simonson, King Ho Tam, Nataraj Akkiraju, Mosur Mohan, Lei He 0001 Leveraging Delay Slack in Flip-Flop and Buffer Insertion for Power Reduction. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
53Ming Zhang 0017, Subhasish Mitra, T. M. Mak, Norbert Seifert, Nicholas J. Wang, Quan Shi, Kee Sup Kim, Naresh R. Shanbhag, Sanjay J. Patel Sequential Element Design With Built-In Soft Error Resilience. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
53Li Ding 0002, Pinaki Mazumder, N. Srinivas A dual-rail static edge-triggered latch. Search on Bibsonomy ISCAS (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
53Huan-Chih Tsai, Sudipta Bhawmik, Kwang-Ting Cheng An almost full-scan BIST solution-higher fault coverage and shorter test application time. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
53Arun Balakrishnan, Srimat T. Chakradhar Peripheral Partitioning and Tree Decomposition for Partial Scan. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
52Conrad H. Ziesler, Joohee Kim, Visvesh S. Sathe 0001, Marios C. Papaefthymiou A 225 MHz resonant clocked ASIC chip. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF adiabatic logic, resonant LC tank, single phase, VLSI, CMOS, flip-flop, low energy, clock generator
52Jongsun Park 0001, Woopyo Jeong, Hunsoo Choo, Hamid Mahmoodi-Meimand, Yongtao Wang, Kaushik Roy 0001 High performance and low power FIR filter design based on sharing multiplication. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FIR filter design, computation sharing, conditional capture flip-flop, high performance and low power carry select adder
50Varun Arora, Indranil Sengupta 0001 A Unified Approach to Partial Scan Design using Genetic Algorithm. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
50Priyank Kalla, Maciej J. Ciesielski A comprehensive approach to the partial scan problem using implicitstate enumeration. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
50Sudipta Bhawmik, Indradeep Ghosh A Practical Method for Selecting Partial Scan Flip-flops for Large Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 881 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license