The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase gate-count (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1990-1999 (18) 2000-2002 (18) 2003-2004 (22) 2005 (24) 2006 (33) 2007 (18) 2008 (28) 2009-2012 (16) 2014-2023 (17)
Publication types (Num. hits)
article(55) incollection(1) inproceedings(138)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 137 occurrences of 119 keywords

Results
Found 194 publication records. Showing 194 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
74Minoru Watanabe, Fuminori Kobayashi A 16, 000-gate-count optically reconfigurable gate array in a standard 0.35µm CMOS technology. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
72Mao Nakajima, Daisaku Seto, Minoru Watanabe A 937.5 ns multi-context holographic configuration with a 30.75 mus retention time. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
69Shinichi Kato, Minoru Watanabe Inversion/Non-inversion Implementation for an 11, 424 Gate-Count Dynamic Optically Reconfigurable Gate Array VLSI. Search on Bibsonomy SAMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
68Minoru Watanabe, Fuminori Kobayashi A 1, 632 Gate-Count Zero-Overhead Dynamic Optically Reconfigurable Gate Array VLSI. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
47Minoru Watanabe, Fuminori Kobayashi An Optically Differential Reconfigurable Gate Array VLSI Chip with a Dynamic Reconfiguration Circuit. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
44Daisaku Seto, Minoru Watanabe An 11, 424 gate-count dynamic optically reconfigurable gate array with a photodiode memory architecture. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
43Daisaku Seto, Minoru Watanabe Analysis of retention time under multi-configuration on a DORGA. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
41Minoru Watanabe, Fuminori Kobayashi An Improved Dynamic Optically Reconfigurable Gate Array. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
40Myung Hoon Sunwoo, Seong Keun Oh A Multiplierless 2-D Convolver Chip for Real-Time Image Processing. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF image processing, VLSI design, VLSI architecture, convolution, multiplier, digital filter
35Hussain Al-Asaad, John P. Hayes Logic Design Validation via Simulation and Automatic Test Pattern Generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF test generation, logic design, fault simulation, error modeling, design validation
35Thomas W. Williams Testing in Nanometer Technologies. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
33Fei Li 0003, Lei He 0001, Joseph M. Basile, Rakesh J. Patel, Hema Ramamurthy High-level area and power-up current estimation considering rich cell library. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Rui Zhang, Niraj K. Jha State Encoding of Finite-State Machines Targeting Threshold and Majority Logic Based Implementations with Application to Nanotechnologies. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Minoru Watanabe, Takenori Shiki, Fuminori Kobayashi 272 Gate Count Optically Differential Reconfigurable Gate Array VLSI. Search on Bibsonomy ERSA The full citation details ... 2007 DBLP  BibTeX  RDF
30Minoru Watanabe, Fuminori Kobayashi A 0.35um CMOS 1, 632-gate-count Zero-Overhead Dynamic Optically Reconfigurable Gate Array VLSI. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 0.35 micron, zero-overhead dynamic optically reconfigurable gate array VLSI, ZO-DORGA-VLSI, junction capacitance, photodiodes, load capacitance, configuration memory, CMOS process chip
30Minoru Watanabe An 11, 424 gate-count zero-overhead dynamic optically reconfigurable gate array VLSI. Search on Bibsonomy SoCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Minoru Watanabe, Fuminori Kobayashi A 476-gate-count dynamic optically reconfigurable gate array VLSI chip in a standard 0.35 micrometer CMOS technology. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29James Donald, Niraj K. Jha Reversible logic synthesis with Fredkin and Peres gates. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Quantum computing, reversible logic
27Mao Nakajima, Minoru Watanabe Fast Optical Reconfiguration of a Nine-Context DORGA. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Shinya Kubota, Minoru Watanabe A nine-context programmable optically reconfigurable gate array with semiconductor lasers. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF holographic memory, optically reconfigurable gate arrays, field programmable gate arrays
27Minoru Watanabe, Fuminori Kobayashi Power consumption advantage of a dynamic optically reconfigurable gate array. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Dmitri Maslov, Gerhard W. Dueck, D. Michael Miller Fredkin/Toffoli Templates for Reversible Logic Synthesis. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Lihui Ni, Zhijin Guan, Wenying Zhu A General Method of Constructing the Reversible Full-Adder. Search on Bibsonomy IITSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF reversible full-adder, reversible gates, gate count, garbage outputs
27Akhilesh Tyagi A Reduced-Area Scheme for Carry-Select Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF reduced-area, conditional-sum adders, carry-chain evaluations, gate-count, carry-ripple, classical carry-select, logic design, adders, logic circuits, gate-delay, parallel-prefix adders, analytic evaluation, area-efficient, carry-skip adders, carry-select adders
26Yu-Kun Lin, Chun-Wei Ku, De-Wei Li, Tian-Sheuan Chang A 140-MHz 94 K Gates HD1080p 30-Frames/s Intra-Only Profile H.264 Encoder. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Akashi Satoh ASIC hardware implementations for 512-bit hash function Whirlpool. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Shuguang Zhao, Licheng Jiao Multi-objective evolutionary design and knowledge discovery of logic circuits based on an adaptive genetic algorithm. Search on Bibsonomy Genet. Program. Evolvable Mach. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Evolutionary design of circuits, Knowledge discovery, Evolvable hardware, Multi-objective genetic algorithm, Adaptive genetic algorithm
24Kavel M. Büyüksahin, Farid N. Najm High-level area estimation. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF boolean networks, area estimation
24Hussain Al-Asaad, John P. Hayes Design verification via simulation and automatic test pattern generation. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF test generation, logic simulation, Design verification, error models
23Rio Miyazaki, Minoru Watanabe, Fuminori Kobayashi A multi-context holographic memory recording system for Optically Reconfigurable Gate Arrays. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Minoru Watanabe, Mototsugu Miyano, Fuminori Kobayashi An optically differential reconfigurable gate array with a holographic memory. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Minoru Watanabe, Fuminori Kobayashi Optically Reconfigurable Gate Arrays vs. ASICs. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Arash Reyhani-Masoleh, M. Anwar Hasan Efficient digit-serial normal basis multipliers over binary extension fields. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF security, finite field, normal basis, Digit-serial multiplier
23Fei Li 0003, Lei He 0001, Joseph M. Basile, Rakesh J. Patel, Hema Ramamurthy High Level Area and Current Estimation. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Jen-Chuan Chih, Kun-Lung Chen, Sau-Gee Chen A CORDIC processor with efficient table-lookup schemes for rotations and on-line scale factor compensations. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Von-Kyoung Kim, Tom Chen 0001, Mick Tegethoff Fault Coverage Estimation for Early Stage of VLSI Design. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Steve Thakur An optimization of the addition gate count in Plonkish circuits. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2023 DBLP  BibTeX  RDF
21John Burke, Biswajit Basu, Ciaran McGoldrick Reduced Gate Count for Quantum State Preparation of 2D Data. Search on Bibsonomy QCE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Takashi Hirayama, Rin Suzuki, Katsuhisa Yamanaka, Yasuaki Nishitani Quick Computation of the Lower Bound on the Gate Count of Toffoli-Based Reversible Logic Circuits. Search on Bibsonomy ISMVL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Pritam Bhattacharjee, G. Naveen Goud, Vipin K. Singh, Vijay P. Yadav, Abir J. Mondal, Alak Majumder Comparative Exploration of Gate Count and Leakage Optimized D-Latch in Nanometer CMOS. Search on Bibsonomy RADIOELEKTRONIKA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Korbinian Staudacher, Tobias Guggemos, Sophia Grundner-Culemann, Wolfgang Gehrke Reducing 2-QuBit Gate Count for ZX-Calculus based Quantum Circuit Optimization. Search on Bibsonomy QPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Sunyeop Kim, Insung Kim, Seonggyeom Kim, Seokhie Hong Toffoli gate count Optimized Space-Efficient Quantum Circuit for Binary Field Multiplication. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2022 DBLP  BibTeX  RDF
21Marcus Dansarie sboxgates: A program for finding low gate count implementations of S-boxes. Search on Bibsonomy J. Open Source Softw. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Ian van Hoof Space-efficient quantum multiplication polynomials for binary finite fields with sub-quadratoc Toffoli gate count. Search on Bibsonomy Quantum Inf. Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Iggy van Hoof Space-efficient quantum multiplication of polynomials for binary finite fields with sub-quadratic Toffoli gate count. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
21Cupjin Huang, Michael Newman, Mario Szegedy Explicit lower bounds on strong simulation of quantum circuits in terms of $T$-gate count. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
21Iggy van Hoof Space-efficient quantum multiplication of polynomials for binary finite fields with sub-quadratic Toffoli gate count. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2019 DBLP  BibTeX  RDF
21Aparna Shreedhar, Kwen-Siong Chong, Ne Kyaw Zwa Lwin, Nay Aung Kyaw, L. Nalangilli, W. Shu, Joseph S. Chang, Bah-Hwee Gwee Low Gate-Count Ultra-Small Area Nano Advanced Encryption Standard (AES) Design. Search on Bibsonomy ISCAS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Yosuke Toyama, Kentaro Yoshioka, Koichiro Ban, Akihide Sai, Kohei Onizuka A 12.4TOPS/W, 20% Less Gate Count Bidirectional Phase Domain MAC Circuit for DNN Inference Applications. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Sungkyung Park 0001, Chester Sungchung Park Design of Low-Gate-Count Low-Power Microprocessors with High Code Density for Deeply Embedded Applications. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Shane Kepley, Rainer Steinwandt Quantum circuits for 2n-multiplication with subquadratic gate count. Search on Bibsonomy Quantum Inf. Process. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21Katherine L. Brown, Anmer Daskin, Sabre Kais, Jonathan P. Dowling Reducing the number of ancilla qubits and the gate count required for creating large controlled operations. Search on Bibsonomy Quantum Inf. Process. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21Takashi Hirayama, Hayato Sugawara, Katsuhisa Yamanaka, Yasuaki Nishitani A Lower Bound on the Gate Count of Toffoli-Based Reversible Logic Circuits. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
21Wei-Yu Tsai, Ching-Te Chiu, Jen-Ming Wu, Shawn S. H. Hsu, Yarsun Hsu A Novel Low Gate-Count Pipeline Topology With Multiplexer-Flip-Flops for Serial Link. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
21Wei-Yu Tsai, Ching-Te Chiu, Jen-Ming Wu, Shawn S. H. Hsu, Yarsun Hsu, Ying-Fang Tsao A novel low gate-count serializer topology with Multiplexer-Flip-Flops. Search on Bibsonomy ISCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
21José Sarmento, John T. Stonick A minimal-gate-count fully digital frequency-tracking oversampling CDR circuit. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21Lei Chen, Shinji Kimura Optimizing Controlling-Value-Based Power Gating with Gate Count and Switching Activity. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Matthew Kwan Reducing the Gate Count of Bitslice DES. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2000 DBLP  BibTeX  RDF
20Jae Hyun Baek, Myung Hoon Sunwoo New degree computationless modified euclid algorithm and architecture for Reed-Solomon decoder. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Jaehyun Baek, Myung Hoon Sunwoo Enhanced degree computationless modified Euclid's algorithm for Reed-Solomon decoder. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Jang Woong Park, Jae Hyun Baek, Myung Hoon Sunwoo Enhanced Degree Computationless Modified Euclid's Algorithm. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Wei-Kai Chan, Shao-Yi Chien Subword Parallel Architecture for Connected Component Labeling and Morphological Operations. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Elena Trichina, Tymur Korkishko Secure AES Hardware Module for Resource Constrained Devices. Search on Bibsonomy ESAS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Siddika Berna Örs, Ahmet Dervisoglu Modeling Bit Multiplication Blocks for DSP Applications Using VHDL. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Amr G. Wassal, M. Anwarul Hasan, Mohamed I. Elmasry Low-Power Design of Finite Field Multipliers for Wireless Applications. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF architecture, low power, finite fields, multiplier
20Kaushik De, Chitra Natarajan, Devi Nair, Prithviraj Banerjee RSYN: a system for automated synthesis of reliable multilevel circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Jimson Mathew, Hafizur Rahaman 0001, Babita R. Jose, Dhiraj K. Pradhan Design of Reversible Finite Field Arithmetic Circuits with Error Detection. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Minoru Watanabe, Naoki Yamaguchi An Acceleration and Optimization Method for Optical Reconfiguration. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Pallav Gupta, Abhinav Agrawal 0002, Niraj K. Jha An Algorithm for Synthesis of Reversible Logic Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Dmitri Maslov, Gerhard W. Dueck, D. Michael Miller Synthesis of Fredkin-Toffoli reversible networks. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Lu Xiao 0003, Howard M. Heys Hardware Design and Analysisof Block Cipher Components. Search on Bibsonomy ICISC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Arash Reyhani-Masoleh, M. Anwar Hasan Efficient digit-serial normal basis multipliers over GF(2m). Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Eric M. Schwarz, Michael J. Flynn Cost-efficient high-radix division. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
16Weining Hao, Martin Radetzki A data traffic efficient H.264 deblocking IP. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Santiago Remersaro, Janusz Rajski, Thomas Rinderknecht, Sudhakar M. Reddy, Irith Pomeranz ATPG Heuristics Dependant Observation Point Insertion for Enhanced Compaction and Data Volume Reduction. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Rui Zhang, Pallav Gupta, Lin Zhong 0001, Niraj K. Jha Threshold network synthesis and optimization and its application to nanotechnologies. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Sourabh Saluja, Anshul Kumar Performance Analysis of Inter Cluster Communication Methods in VLIW Architecture. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Malcolm Taylor, Chi-En Daniel Yin, Min Wu, Gang Qu 0001 A Hardware-Assisted Data Hiding Based Approach in Building High-Performance Trusted Computing Systems. Search on Bibsonomy HOST The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Leonid Bolotnyy, Gabriel Robins Physically Unclonable Function-Based Security and Privacy in RFID Systems. Search on Bibsonomy PerCom The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Yi-Chih Chao, Shih-Tse Wei, Jar-Ferr Yang, Bin-Da Liu Combined Decoding and Flexible Transform Designs for Effective H.264/AVC Decoders. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Khaled R. Heloue, Navid Azizi, Farid N. Najm Modeling and Estimation of Full-Chip Leakage Current Considering Within-Die Correlation. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Heinz Mattes, Claus Dworski, Sebastian Sattler Controlled Sine Wave Fitting for ADC Test. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Ahsan Shabbir, Sander Stuijk, Akash Kumar 0001, Bart D. Theelen, Bart Mesman, Henk Corporaal A predictable communication assist. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga's, communication, predictable, dma, ca, mp-soc
10Chih-Da Chien, Cheng-An Chien, Jui-Chin Chu, Jiun-In Guo, Ching-Hwa Cheng A 252Kgates/4.9Kbytes SRAM/71mW multistandard video decoder for high definition video applications. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF H.264, MPEG, Video decoder
10Azam Beg Improving Nano-circuit Reliability Estimates by Using Neural Methods. Search on Bibsonomy NanoNet The full citation details ... 2009 DBLP  DOI  BibTeX  RDF probability of failure, nano-metric circuits, reliability model, neural network model, Reliability estimation
10Yexin Zheng, Chao Huang A novel Toffoli network synthesis algorithm for reversible logic. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Mathieu Renauld, François-Xavier Standaert, Nicolas Veyrat-Charvillon Algebraic Side-Channel Attacks on the AES: Why Time also Matters in DPA. Search on Bibsonomy CHES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Wooyoung Jang, David Z. Pan An SDRAM-aware router for Networks-on-Chip. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Networks-on-Chip, memory, flow control, router
10Ke Xu 0014, Chiu-sing Choy A Five-Stage Pipeline, 204 Cycles/MB, Single-Port SRAM-Based Deblocking Filter for H.264/AVC. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Yi-Hau Chen, Chih-Chi Cheng, Tzu-Der Chuang, Ching-Yeh Chen, Shao-Yi Chien, Liang-Gee Chen Efficient Architecture Design of Motion-Compensated Temporal Filtering/Motion Compensated Prediction Engine. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Reeba Korah, J. Raja Paul Perinbam FPGA Implementation of Integer Transform and Quantizer for H.264 Encoder. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF pipelining, H.264, quantization, integer transform
10Chun-Lung Hsu, Yu-Sheng Huang A Fast-Deblocking Boundary-strength Based Architecture Design of Deblocking Filter in H.264/AVC Applications. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FDBS, H.264/AVC, PSNR, bit-rate, deblocking filter
10Yi-Hau Chen, Shao-Yi Chien, Ching-Yeh Chen, Yu-Wen Huang, Liang-Gee Chen Analysis and Hardware Architecture Design of Global Motion Estimation. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF MPEG-4 advanced simple profile, Mosaic, Gradient descent, Global motion estimation, Sprites
10Martin Hell, Thomas Johansson 0001, Alexander Maximov, Willi Meier The Grain Family of Stream Ciphers. Search on Bibsonomy The eSTREAM Finalists The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Kavallur Gopi Smitha, A. Prasad Vinod 0001 A reconfigurable low complexity architecture for channel adaptation in cognitive radio. Search on Bibsonomy PIMRC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Tejaswi Gowda, Sarma B. K. Vrudhula Decomposition based approach for synthesis of multi-level threshold logic circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Ruchika Verma, Ali Akoglu A coarse grained and hybrid reconfigurable architecture with flexible NoC router for variable block size motion estimation. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Gregor Papa, Tomasz Garbolino, Franc Novak Deterministic Test Pattern Generator Design. Search on Bibsonomy EvoWorkshops The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Nicolas T. Courtois, Gregory V. Bard, David A. Wagner 0001 Algebraic and Slide Attacks on KeeLoq. Search on Bibsonomy FSE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF unbalanced Feistel ciphers, Gröbner bases, KeeLoq, block ciphers, SAT solvers, algebraic cryptanalysis, slide attacks
10Tsung-Han Tsai 0001, Yu-Nan Pan High Efficiency Architecture of Fast Block Motion Estimation with Real-Time QFHD on H.264 Video Coding. Search on Bibsonomy ISM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 194 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license