The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for interconnection with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1961-1972 (15) 1973-1976 (19) 1977-1979 (26) 1980 (19) 1981 (32) 1982 (48) 1983 (36) 1984 (34) 1985 (48) 1986 (62) 1987 (65) 1988 (81) 1989 (98) 1990 (110) 1991 (123) 1992 (134) 1993 (144) 1994 (198) 1995 (181) 1996 (204) 1997 (207) 1998 (153) 1999 (178) 2000 (199) 2001 (145) 2002 (215) 2003 (215) 2004 (257) 2005 (334) 2006 (312) 2007 (327) 2008 (315) 2009 (242) 2010 (138) 2011 (138) 2012 (132) 2013 (121) 2014 (103) 2015 (113) 2016 (122) 2017 (124) 2018 (95) 2019 (102) 2020 (95) 2021 (81) 2022 (84) 2023 (81) 2024 (17)
Publication types (Num. hits)
article(2309) book(5) data(1) incollection(17) inproceedings(3883) phdthesis(95) proceedings(12)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6978 occurrences of 2230 keywords

Results
Found 6322 publication records. Showing 6322 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
61Ali Karci New Interconnection Networks: Fibonacci Cube and Extended Fibonacci Cubes Based Hierarchic Networks. Search on Bibsonomy ICOIN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Hierarchical Interconnection Networks, Extended Fibonacci Cubes, Interconnection Networks, Hypercubes, Fibonacci Cubes
59Dirk Stroobandt, Herwig Van Marck, Jan Van Campenhout An Accurate Interconnection Length Estimation for Computer Logic. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Interconnection length, Interconnection complexity, Donath's hierarchical placement technique, Global interconnection length distribution, Rent's rule
50Aristotel Tentov, Aksenti L. Grnarov Performance Analysis of Packet Switching Interconnection Networks with Finite Buffers. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF buffered interconnection networksop of Form Bottom of Form, performance analysis, interconnection networks, packet switching, packet switching, finite buffers
50Tse-Yun Feng, Yanggon Kim Fault-diagnosis for a class of distributed control multistage interconnection networks. Search on Bibsonomy FTDCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF distributed control multistage interconnection networks, multiple disjoint paths, input/output terminals, fault-diagnosis method, self-routing tags, fault-location procedure, performance evaluation, fault-tolerance, fault-diagnosis, fault tolerant computing, multistage interconnection networks, fault location, redundant paths
49Sajal K. Das 0001, Sabine R. Öhring, Maximilian Ibel Communication aspects of fat-tree-based interconnection networks for multicomputers. Search on Bibsonomy Robust Communication Networks: Interconnection and Survivability The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
49Sotirios G. Ziavras Generalized reduced hypercube interconnection networks for massively parallel computers. Search on Bibsonomy Interconnection Networks and Mapping and Scheduling Parallel Computations The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
49Gene Cooperman, Larry Finkelstein Permutation routing via Cayley graphs with an example for bus interconnection networks. Search on Bibsonomy Interconnection Networks and Mapping and Scheduling Parallel Computations The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
49Li Qiao, Zhang Yi Restricted connectivity and restricted fault diameter of some interconnection networks. Search on Bibsonomy Interconnection Networks and Mapping and Scheduling Parallel Computations The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
49Sanguthevar Rajasekaran Sorting and selection on interconnection networks. Search on Bibsonomy Interconnection Networks and Mapping and Scheduling Parallel Computations The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
49Omar H. Karam, Dharma P. Agrawal Shuffled tree based fault-tolerant hierarchical interconnection networks. Search on Bibsonomy Interconnection Networks and Mapping and Scheduling Parallel Computations The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
49Haroon-Ur-Rashid Khan, Shi Feng 0003, Weixing Ji Triplet Based Multi-core Interconnection Network and its Computational Efficiency. Search on Bibsonomy ACIS-ICIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
49Xuesong Tan, Shuo-Yen Robert Li Mixed Preservation of Conditionally Nonblocking Switches under 2-stage Interconnection. Search on Bibsonomy PDCAT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49Kimmo Kuusilinna, Pasi Liimatainen, Timo Hämäläinen 0001, Jukka Saarinen Reconfiguration Mechanism for an IP Block Based Interconnection. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
48Yinan N. Shen, Xiao-Tao Chen, Susumu Horiguchi, Fabrizio Lombardi On the multiple fault diagnosis of multistage interconnection networks: the lower bound and the CMOS fault model. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF CMOS fault model, multiple fault diagnosis, interconnection networks, fault diagnosis, lower bound, multistage interconnection networks, multistage interconnection networks, CMOS technology, stuck-open faults
48Serge Miguet, Yves Robert Reduction Operations on a Distributed Memory Machine with a Reconfigurable Interconnection Network. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF reconfigurable interconnection network, reductionoperations, interconnection graph, transputer-based networks, graph theory, multiprocessor interconnection networks, distributed memory machine
47Nicolas Braun, Richard Cissée, Sahin Albayrak An Agent-Based Approach to User-Initiated Semantic Service Interconnection. Search on Bibsonomy SOCASE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF User-Initiated Dynamic Service Interconnection, Intelligent Agents
47Karim Baïna, Khalid Benali, Claude Godart Dynamic Interconnection of Heterogeneous Workflow Processes through Services. Search on Bibsonomy OTM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Multi-workflow systems, business process mediators and wrappers, matchmaking and brokering, service based workflow integration, out-sourcing based workflow interconnection, negotiation
47Chenggong Charles Fan, Jehoshua Bruck Tolerating Multiple Faults in Multistage Interconnection Networks with Minimal Extra Stages. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF extra-stage, switch faults, stage masks, fault tolerance, Multistage Interconnection Networks (MIN)
45Yuxia Lei, Yan Wang, Baoxiang Cao, Jiguo Yu Concept Interconnection Based on Many-Valued Context Analysis. Search on Bibsonomy PAKDD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Extended Many-Valued Context, Semantic Interconnection, Structure Interconnection, Formal Concept Analysis, Formal Description
45Ching-Wen Chen, Chung-Ping Chung Designing A Disjoint Paths Interconnection Network with Fault Tolerance and Collision Solving. Search on Bibsonomy J. Supercomput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF gamma interconnection networks, rerouting hops, collision ratio, multistage interconnection networks, disjoint paths, dynamic rerouting
45Alvin R. Lebeck, Gurindar S. Sohi Request Combining in Multiprocessors with Arbitrary Interconnection Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF arbitrary interconnection networks, shared memory location, combining set, processor elements, simulationresults, parallel architectures, virtual machines, multiprocessors, message passing, multiprocessor interconnection networks, shared memory systems, design space, hot spots, message routing, parallel access, classification scheme, combining strategies
45Shuo-Hsien Hsiao, C. Y. Roger Chen Performance Evaluation of Circuit Switched Multistage Interconnection Networks Using a Hold Strategy. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF message size, circuit switched multistage interconnection networks, hold strategy, processor-memory communications, processor processing time, closed queuing network model, performance evaluation, performance evaluation, multiprocessor interconnection networks, queueing theory, multiprocessor systems, switching theory, memory access
43Magnus Jonsson Optical Interconnection Technology in Switches, Routers and Optical Cross Connects. Search on Bibsonomy ICPP Workshops The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
43Naotake Kamiura, Takashi Kodera, Nobuyuki Matsui Fault tolerant multistage interconnection networks with widely dispersed paths. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fault tolerant MIN, widely dispersed paths, 2-dilated baseline network, switching element, concentrated SE faults, fault tolerant computing, multiprocessor interconnection network, multistage interconnection networks, multistage interconnection networks
43K. H. Kim, Chittur Subbaraman Interconnection schemes for RTO.k objects in loosely coupled real-time distributed computer systems. Search on Bibsonomy COMPSAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF interconnection schemes, RTO k objects, loosely coupled real time distributed computer systems, complex real time distributed computer systems, system structuring techniques, object structuring scheme, Hitachi-UCI Data Field interprocess group communication scheme, certifiable real time DCS, object autonomy, relocation autonomy, data acceptance autonomy, design time guarantee, basic interconnection approach, operating system kernel model, DREAM kernel, guaranteed timely kernel services, multiprocessor interconnection networks, real time computing
43Ke Qui Broadcasting on the star and pancake interconnection networks. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF pancake interconnection networks, star interconnection networks, data communication operation, broadcasting, broadcasting, multiprocessor interconnection networks
41Yulei Zhang 0002, Xiang Hu, Alina Deutsch, A. Ege Engin, James F. Buckwalter, Chung-Kuan Cheng Prediction of high-performance on-chip global interconnection. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF on-chip global interconnection, performance prediction, transmission line
41Sara Cohen, Yaron Kanza, Benny Kimelfeld, Yehoshua Sagiv Interconnection semantics for keyword search in XML. Search on Bibsonomy CIKM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF interconnection semantics, XML, keyword search
41Róbert Párhonyi, Dick A. C. Quartel, Aiko Pras, Lambert J. M. Nieuwenhuis An interconnection architecture for micropayment systems. Search on Bibsonomy ICEC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF micropayment gateway, micropayment interconnection architecture, micropayment system, uniform micropayment service, e-commerce, electronic payment system
41Hyeong-Ok Lee, Jong-Seok Kim, Kyoung-Wook Park, Jeonghyun Seo, Eunseuk Oh Matrix-Star Graphs: A New Interconnection Network Based on Matrix Operations. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Macro-star Graph, Interconnection network, Star Graph
41Ke Qiu 0002, Sajal K. Das 0001 Interconnection Networks and Their Eigenvalues. Search on Bibsonomy ISPAN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Graph Theoretical Properties, Interconnection Networks, Eigenvalues
41Khaled Day, Abdel Elah Al-Ayyoub The Cross Product of Interconnection Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF parallel paths, routing, interconnection networks, Broadcasting, embedding, product networks
39Xin Yuan 0001, Rami G. Melhem, Rajiv Gupta 0001 Distributed Path Reservation Algorithms for Multiplexed All-Optical Interconnection Networks. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF distributed path reservation algorithms, multiplexed all-optical interconnection networks, distributed path reservation protocols, forward reservation protocols, backward reservation protocols, 2-dimensional torus interconnection networks, wavelength division multiplexing, communication delay, time division multiplexing, time division multiplexing
39Byungho Kim, Boseob Kwon, Hyunsoo Yoon, Seungryul Maeng, Jung Wan Cho Performance Analysis of Multipath Multistage Interconnection Networks with Nonuniform Output Traffic Distribution. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF buffer circuits, multipath multistage interconnection networks, nonuniform output traffic distribution, delay-throughput performance, packet arrival rates, output module., performance evaluation, performance analysis, delays, probability, packet switching, multistage interconnection networks, telecommunication traffic, packet delay, losses, output buffers, packet loss probability
39Aristotel Tentov, Aksenti L. Grnarov Performance Analysis of ATM Switches with Multistage Packet Switching Interconnection Networks. Search on Bibsonomy LCN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multistage packet switching interconnection networks, mathematical method, finite buffering capacity, switching elements output, uniform traffic, buffered interconnection networks, synchronous buffered switching element, finite buffer size, performance analysis, asynchronous transfer mode, blocking, ATM switches, output buffering, traffic patterns, nonuniform traffic
39Vipul Gupta, Eugen Schenfeld Annealed Embeddings of Communication Patterns in an Interconnection Cached Network. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Interconnection cache, switching locality, latency reduction, reconfigurable parallel architectures, interconnection networks, simulated annealing, optical networks, process mapping
39Mohan Kumar, Lalit M. Patnaik Extended Hypercube: A Hierarchical Interconnection Network of Hypercubes. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF hierarchical interconnection network, extended hypercube, parallel algorithms, hypercube networks, message routing, interconnection topology, parallelalgorithms, recursive structure
38Nathaniel Dean, D. Frank Hsu, Ramamoorthi Rav (eds.) Robust Communication Networks: Interconnection and Survivability, Proceedings of a DIMACS Workshop, New Brunswick, New Jersey, USA, November 18-20, 1998 Search on Bibsonomy Robust Communication Networks: Interconnection and Survivability The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
38Johanne Cohen, Pierre Fraigniaud, Margarida Mitjana Minimal contention-free matrices with application to multicasting. Search on Bibsonomy Robust Communication Networks: Interconnection and Survivability The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
38Yishay Mansour, David Peleg An approximation algorithm for minimum-cost network design. Search on Bibsonomy Robust Communication Networks: Interconnection and Survivability The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
38 Preface. Search on Bibsonomy Robust Communication Networks: Interconnection and Survivability The full citation details ... 1998 DBLP  BibTeX  RDF
38Lixin Gao, Bo Li 0001, Feng Chen 0017 Optimal placement of repair servers for reliable multicast. Search on Bibsonomy Robust Communication Networks: Interconnection and Survivability The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
38 Foreword. Search on Bibsonomy Robust Communication Networks: Interconnection and Survivability The full citation details ... 1998 DBLP  BibTeX  RDF
38Si-Qing Zheng Constructing optical networks using combinatorial designs. Search on Bibsonomy Robust Communication Networks: Interconnection and Survivability The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
38Yuanyuan Yang Nonblocking and almost nonblocking multicast switching networks. Search on Bibsonomy Robust Communication Networks: Interconnection and Survivability The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
38Sotirios G. Ziavras, Qian Wang Robust interprocessor connections for very-high performance. Search on Bibsonomy Robust Communication Networks: Interconnection and Survivability The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
38P. Krishnan, Danny Raz, Yuval Shavitt Transparent en-route cache location for regular networks. Search on Bibsonomy Robust Communication Networks: Interconnection and Survivability The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
38Andreas Bley, Martin Grötschel, Roland Wessäly Design of broadband virtual private networks: Model and heuristics for the B-WiN. Search on Bibsonomy Robust Communication Networks: Interconnection and Survivability The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
38D. Frank Hsu, Arnold L. Rosenberg, Dominique Sotteau (eds.) Workshop on Interconnection Networks and Mapping and Scheduling Parallel Computations, Proceedings of a DIMACS Workshop, Piscataway, New Jersey, USA, February 7-9, 1994 Search on Bibsonomy Interconnection Networks and Mapping and Scheduling Parallel Computations The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
38Lucian Finta, Zhen Liu Makespan Minimization of task graphs with random task running times. Search on Bibsonomy Interconnection Networks and Mapping and Scheduling Parallel Computations The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
38Pascal Berthomé, Afonso Ferreira On broadcasting schemes in restricted optical passive star systems. Search on Bibsonomy Interconnection Networks and Mapping and Scheduling Parallel Computations The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
38Chris R. Jesshope, Ivailo M. Nedelchev Asynchronous packet routers. Search on Bibsonomy Interconnection Networks and Mapping and Scheduling Parallel Computations The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
38Mounir Hamdi Communications in optically interconnected parallel computer systems. Search on Bibsonomy Interconnection Networks and Mapping and Scheduling Parallel Computations The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
38 Preface. Search on Bibsonomy Interconnection Networks and Mapping and Scheduling Parallel Computations The full citation details ... 1994 DBLP  BibTeX  RDF
38Ralf Diekmann, Burkhard Monien, Robert Preis Using helpful sets to improve graph bisections. Search on Bibsonomy Interconnection Networks and Mapping and Scheduling Parallel Computations The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
38Xingde Jia Cayley digraphs of finite cyclic groups with minimal average distance. Search on Bibsonomy Interconnection Networks and Mapping and Scheduling Parallel Computations The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
38Rabah Harbane Fault-tolerant Kautz networks. Search on Bibsonomy Interconnection Networks and Mapping and Scheduling Parallel Computations The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
38 Foreword. Search on Bibsonomy Interconnection Networks and Mapping and Scheduling Parallel Computations The full citation details ... 1994 DBLP  BibTeX  RDF
38Siang Wun Song Towards a simple construction method for Hamiltonian decomposition of the hypercube. Search on Bibsonomy Interconnection Networks and Mapping and Scheduling Parallel Computations The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
38Ding-Zhu Du, D. Frank Hsu, Daniel J. Kleitman Modification of consecutive-d digraphs. Search on Bibsonomy Interconnection Networks and Mapping and Scheduling Parallel Computations The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
38William Y. C. Chen, Vance Faber, Emanuel Knill Restricted routing and wide diameter of the cycle prefix network. Search on Bibsonomy Interconnection Networks and Mapping and Scheduling Parallel Computations The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
38Apostolos Gerasoulis, Jia Jiao, Tao Yang 0009 Scheduling Of Structured and Unstructured computation. Search on Bibsonomy Interconnection Networks and Mapping and Scheduling Parallel Computations The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
38Doreen L. Erickson, Charles J. Colbourn Conflict-free access to constant-perimeter, rectangular, subarrays. Search on Bibsonomy Interconnection Networks and Mapping and Scheduling Parallel Computations The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
38Fred S. Annexstein Ranking algorithms for Hamiltonian paths in hypercubic networks. Search on Bibsonomy Interconnection Networks and Mapping and Scheduling Parallel Computations The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
38 List of Participants. Search on Bibsonomy Interconnection Networks and Mapping and Scheduling Parallel Computations The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
38Jean-Claude Bermond, Johny Bond, Selma Djelloul Dense bus networks of diameter 2. Search on Bibsonomy Interconnection Networks and Mapping and Scheduling Parallel Computations The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
38Leslie Ann Goldberg Routing in Optical networks: The problem of contention. Search on Bibsonomy Interconnection Networks and Mapping and Scheduling Parallel Computations The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
38José Duato, Pedro López 0001 Highly adaptive wormhole routing algorithms for N-dimensional torus. Search on Bibsonomy Interconnection Networks and Mapping and Scheduling Parallel Computations The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
38Karim Baïna, Khalid Benali, Claude Godart A Process Service Model for Dynamic Enterprise Process Interconnection. Search on Bibsonomy CoopIS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
38Víctor H. Champac, Antonio Zenteno Detectability Conditions for Interconnection Open Defect. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
38Michael Portz On the Use of Interconnection Networks in Cryptography. Search on Bibsonomy EUROCRYPT The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
37Jae-dong Lee, Kenneth E. Batcher A bitonic sorting network with simpler flip interconnections. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF flip interconnections, bitonic sorting network, inter-level wiring, parity technique, Construct-BSMF, N/2 even-parity keys, interconnection scheme, perfect-shuffle interconnection, parallel algorithms, parallel architectures, multiprocessor interconnection networks, sorting
37Bülent Abali, Craig B. Stunkel Time synchronization on SP1 and SP2 parallel systems. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF SP2 parallel system, SP1 parallel system, experimental time utility, operating system clocks, node clocks, synchronous feature, parallel program performance measurement, parallel program tuning, parallel program tracing, parallel program debugging, parallel processes, interconnection network, multiprocessor interconnection networks, multiprocessor interconnection networks, parallel machines, parallel machines, synchronisation, synchronisation, processor scheduling, processor scheduling, software performance evaluation, software performance evaluation, program debugging, program debugging, clocks, clocks, operating systems (computers), operating systems (computers), time synchronization, gang scheduling, reduced instruction set computing, reduced instruction set computing
37Debasish Das, Bhabani P. Sinha Multi-Mesh-an efficient topology for parallel processing. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Multi-Mesh network, interconnection scheme, multiple meshes, interconnection pattern, MM network, data elements, routing, parallel processing, parallel architectures, topology, multiprocessor interconnection networks, sorting, communication complexity, matrix multiplication, network routing, diameter, VLSI implementation, matrices
37Sunggu Lee, Kang G. Shin Interleaved All-to-All Reliable Broadcast on Meshes and Hypercubes. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF all-to-allreliable broadcast, point-to-point interconnection networks, reliablebroadcasts, IHC Algorithm, regular meshes, fault-tolerance, interconnection networks, broadcast, hypercubes, multiprocessor interconnection networks, meshes, wormhole routing, telecommunication network routing, virtual cut-through
37Ishfaq Ahmad, Arif Ghafoor Semi-Distributed Load Balancing For Massively Parallel Multicomputer Systems. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF massively parallel multicomputer systems, semidistributed approach, fully distributed approaches, two-level hierarchical control, independent symmetric regions, distance transitive graphs, scheduling points, fully distributed strategy, scheduling, distributed systems, computational complexity, load balancing, parallel architectures, multiprocessor interconnection networks, parallel machines, multiprocessor system, NP-complete problem, simulation study, interconnection structure, interconnection structures, state information, Hadamard matrix, combinatorial structure
37Giacomo Bucci, Alberto Del Bimbo, Simone Santini Performance Analysis of Two Different Algorithms for Ethernet-FDDI Interconnection. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Ethernet-FDDI interconnection, Fiber Distributed Data Interface, LAN's, traffic increase, address filtering, performance evaluation, performance analysis, local area networks, local area networks, distributed environments, FDDI, FDDI, packet filtering, algorithm performance, LAN interconnection, LAN interconnection
37Fabrizio Lombardi, Chao Feng, Wei-Kang Huang Detection and Location of Multiple Faults in Baseline Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF multiple faults location, baseline interconnection networks, faulty switching element, functional description, algorithm, fault diagnosis, fault tolerant computing, multiprocessor interconnection networks, multistage interconnection network, fault location, iterative process, multiple faults detection
37Bernard L. Menezes, Roy M. Jenevein The KYKLOS Multicomputer Network: Interconnection Strategies, Properties, and Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF KYKLOS multicomputer network, interconnection strategies, tree-based interconnection architecture, multiple m-ary trees, performance evaluation, fault tolerance, routing, multiprocessor interconnection networks, properties, communication latencies, database operations, relational join
37Prithviraj Banerjee, Abhijeet Dugar The Design, Analysis and Simulation of a Fault-Tolerant Interconnection Network Supporting the Fetch-and-Add Primitive. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF fault-tolerant interconnection network, fetch-and-add primitive, combining multistage interconnection network, 4*4 switches, four independent paths, scheduling, fault tolerant computing, multiprocessor interconnection networks, analytical models, network simulations, omega network
37James T. Blake, Kishor S. Trivedi Multistage Interconnection Network Reliability. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF unique-path multistage interconnection network, fault-tolerant scheme, time-dependent reliability, shuffle-exchange multistage interconnection networks, SENs, SEN+, component-lifetime distributions, tight reliability lower bound, computational complexity, fault tolerant computing, multiprocessor interconnection networks, system reliability, circuit reliability
37Nian-Feng Tzeng, Pen-Chung Yew, Chuan-Qi Zhu Realizing Fault-Tolerant Interconnection Networks via Chaining. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF fault-tolerant interconnection networks, switching elements, self-routing algorithm, reliability improvement, fault tolerant computing, multiprocessor interconnection networks, multistage interconnection networks, chaining, quantitative measurement
37Clyde P. Kruskal, Marc Snir, Alan Weiss The Distribution of Waiting Times in Clocked Multistage Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF buffered interconnection networks, clocked multistage interconnection networks, random delay, multistage packet-switching banyan network, total delay distribution, spatial steady state, performance evaluation, delays, delays, multiprocessor interconnection networks, queueing theory, queueing theory, buffer storage, waiting times, formulas, conjectures, waiting times distribution
37Patrick W. Dowd, Kamal Jabbour Spanning Multiaccess Channel Hypercube Computer Interconnection. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF multiaccess channel, computer interconnection, processor interconnection, distance characteristics, parallel architectures, fault tolerant computing, multiprocessor interconnection networks, multiprocessor system, fault-tolerant system, distributed computer system, packet delay, hypercube topology
36Kuei-Chung Chang, Jih-Sheng Shen, Tien-Fu Chen Tailoring circuit-switched network-on-chip to application-specific system-on-chip by two optimization schemes. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low power, systems on chips, networks on chip, interconnection, Application specific
36H. K. Dai 0001 Deciding Strictly Non-Blocking Generalized-Concentration Properties with Constrained Network Parameters. Search on Bibsonomy ISPAN The full citation details ... 1999 DBLP  DOI  BibTeX  RDF generalized-concentrators, computational complexity, interconnection networks, network flows, concentrators, b-matchings
36Antonio Flores, Juan L. Aragón, Manuel E. Acacio An energy consumption characterization of on-chip interconnection networks for tiled CMP architectures. Search on Bibsonomy J. Supercomput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Power dissipation model, Microarchitectural level simulator, Heterogeneus on-chip interconnection network, Chip-multiprocessor, Parallel scientific applications
36Basel A. Mahafzah, Bashira A. Jaradat The load balancing problem in OTIS-Hypercube interconnection networks. Search on Bibsonomy J. Supercomput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF OTIS, OTIS-Hypercube, Load balancing, Interconnection networks, Hypercube
36Roberto Gómez 0001, Alejandro Girón, Víctor H. Champac A Test Generation Methodology for Interconnection Opens Considering Signals at the Coupled Lines. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Interconnection opens, Boolean testing, Favorable logic conditions, Test generation methodology, Coupling capacitances
36Bahman Javadi, Jemal H. Abawajy, Mohammad K. Akbari Analytical modeling of interconnection networks in heterogeneous multi-cluster systems. Search on Bibsonomy J. Supercomput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Interconnection networks, Heterogeneity, Latency, Analytical modeling, Multi-cluster
36Wenjun Xiao, Behrooz Parhami A Group Construction Method with Applications to Deriving Pruned Interconnection Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF geometric group theory, parallel processor architecture, pruning scheme, VLSI realization, distributed system, interconnection network, Cayley graph, network diameter, Algebraic structure
36Shaoying Wang, Huaxi Gu, Changshan Wang RTOIN: a new scalable optical interconnection network. Search on Bibsonomy Infoscale The full citation details ... 2007 DBLP  DOI  BibTeX  RDF scalability, parallel computing, torus, ring, optical interconnection network
36Lei Wang 0015, Zhiping Chen 0002 Research on Petersen Graphs and Hyper-cubes Connected Interconnection Networks. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Petersen graph, Interconnection network, Routing algorithm
36Jung-Heum Park, Hyeong-Seok Lim, Hee-Chul Kim Embedding Starlike Trees into Hypercube-Like Interconnection Networks. Search on Bibsonomy ISPA Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF restricted HL-graphs, path partition, interconnection networks, Spanning trees
36Yang Yu, Mei Yang, Yulu Yang, Yingtao Jiang A RDT-Based Interconnection Network for Scalable Network-on-Chip Designs. Search on Bibsonomy ITCC (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF routing, scalability, interconnection network, Network-on-Chip, torus
36Ching-Wen Chen, Phui-Si Gan, Chih-Hung Chang Designing a High Performance and Fault Tolerant Multistage Interconnection Network with Easy Dynamic Rerouting. Search on Bibsonomy ISPA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF destination tag routing, fault tolerance, performance, Parallel computing, multistage interconnection network(MIN), collision
36Li Shang, Li-Shiuan Peh, Niraj K. Jha PowerHerd: dynamic satisfaction of peak power constraints in interconnection networks. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low-power, interconnection networks, thermal management
36Roger D. Chamberlain, Ch'ng Shi Baw, Mark A. Franklin, Christopher Hackmann, Praveen Krishnamurthy, Abhijit Mahajan, Michael Wrighton Evaluating the Performance of Photonic Interconnection Networks. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF photonics, interconnection networks, multiprocessor systems, optics
36Walter B. Ligon III, Umakishore Ramachandran Toward a More Realistic Performance Evaluation of Interconnection Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF application-directed study, image understanding algorithms, performance evaluation, Interconnection networks, parallel systems, execution-driven simulation
34Mohammad Banikazemi, Dhabaleswar K. Panda 0001, Craig B. Stunkel, Bülent Abali Adaptive Routing in RS/6000 SP-Like Bidirectional Multistage Interconnection Networks. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Output Selection Functions, Bidirectional Multistage Interconnection Networks, Interconnection Networks, Adaptive Routing, Source Routing
Displaying result #1 - #100 of 6322 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license