The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for litho with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-2007 (17) 2008-2011 (15) 2012-2023 (14)
Publication types (Num. hits)
article(10) inproceedings(36)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 38 occurrences of 25 keywords

Results
Found 46 publication records. Showing 46 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
87Min-Chun Tsai, Daniel Zhang, Zongwu Tang Modeling Litho-Constrained Design Layout. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
87Manish Garg, Aatish Kumar, Johannes van Wingerden, Laurent Le Cam Litho-driven layouts for reducing performance variability. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
77Manish Garg, Laurent Le Cam, Matthieu Gonzalez Lithography Driven Layout Design. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
58Minsik Cho, Kun Yuan, Yongchan Ban, David Z. Pan ELIAD: efficient lithography aware detailed router with compact post-OPC printability prediction. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF routing, VLSI, manufacturability, OPC, lithography
58Sean X. Shi, Peng Yu, David Z. Pan A unified non-rectangular device and circuit simulation model for timing and power. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF physical design, VLSI CAD, device modeling
49Shankar Krishnamoorthy Variation and litho driven physical implementation system. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multi-variation optimization, VLSI, lithography
49Yong-Chan Ban, Soo-Han Choi, Ki-Hung Lee, Dong-Hyun Kim, Jisuk Hong, Yoo-Hyon Kim, Moon-Hyun Yoo, Jeong-Taek Kong A Fast Lithography Verification Framework for Litho-Friendly Layout Design. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Andrew B. Kahng How to get real mad. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design-aware manufacturing, integrated circuit physical design, manufacturing-aware design, performance analysis, design for manufacturability
38Hua Xiang 0001, Liang Deng, Li-Da Huang, Martin D. F. Wong OPC-Friendly Bus Driven Floorplanning. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
33Stephen P. Kornachuk, Michael C. Smayling New strategies for gridded physical design for 32nm technologies and beyond. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 28nm, 32nm, 45nm, litho, rdr, placement, layout, physical design, manufacturability, lithography, standard cell, vlsi, drc, dfm
33Robert P. Martin, B. Nassersharif A Diagnostic Expert System for Analyzing Multiple-Failure Transients in Nuclear Power Plants. Search on Bibsonomy IEA/AIE (Vol. 1) The full citation details ... 1988 DBLP  DOI  BibTeX  RDF LITHO
29Galena Jordanova, Timotej Verbovsek Improved Automatic Classification of Litho-Geomorphological Units by Using Raster Image Blending, Vipava Valley (SW Slovenia). Search on Bibsonomy Remote. Sens. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
29Qing Zhang, Yuhang Zhang, Wei Lu, Huajie Huang, Zheng Zhong, Congshu Zhou, Yongfu Li Litho-AsymVnet: super-resolution lithography modeling with an asymmetric V-net architecture. Search on Bibsonomy Sci. China Inf. Sci. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
29Qing Zhang 0008, Yuhang Zhang, Jizuo Li, Wei Lu, Yongfu Li 0002 Litho-NeuralODE 2.0: Improving hotspot detection accuracy with advanced data augmentation, DCT-based features, and neural ordinary differential equations. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
29Haoyu Yang, Zongyi Li, Kumara Sastry, Saumyadip Mukhopadhyay, Anima Anandkumar, Brucek Khailany, Vivek Singh, Haoxing Ren Large Scale Mask Optimization Via Convolutional Fourier Neural Operator and Litho-Guided Self Training. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
29Wei Lu, Yuhang Zhang, Qing Zhang 0008, Xinjie Zhang, Yongfu Li 0002 Litho-NeuralODE: Improving Hotspot Detection Accuracy with Advanced Data Augmentation and Neural Ordinary Differential Equations. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
29Jun Huang 0004, Lei Zou, Peng Tian, Quan Zhang, Yuan Wang, Jian-Hui Zhang A Valveless Piezoelectric Micropump Based on Projection Micro Litho Stereo Exposure Technology. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
29Wei Ye 0008, Mohamed Baker Alawieh, Meng Li 0004, Yibo Lin, David Z. Pan Litho-GPA: Gaussian Process Assurance for Lithography Hotspot Detection. Search on Bibsonomy DATE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
29Jea Woo Park, Andres Torres, Xiaoyu Song Litho-Aware Machine Learning for Hotspot Detection. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
29Minoo Mirsaeedi, Andres J. Torres, Mohab H. Anis Litho-Friendly Decomposition Method for Self-Aligned Triple Patterning. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
29Minoo Mirsaeedi, Andres J. Torres, Mohab H. Anis Litho-Friendly Decomposition Method for Self-Aligned Double Patterning. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
29Bing Yan, Hsin Yuan Chen, Peter B. Luh, Simon Wang, Joey Chang Litho Machine Scheduling With Convex Hull Analyses. Search on Bibsonomy IEEE Trans Autom. Sci. Eng. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
29Raghavan Kumar, Wayne P. Burleson Litho-aware and low power design of a secure current-based physically unclonable function. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
29Vibhu Sharma, Stefan Cosemans, Maryam Ashouei, Jos Huisken, Francky Catthoor, Wim Dehaene Ultra low power litho friendly local assist circuitry for variability resilient 8T SRAM. Search on Bibsonomy DATE The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
29Bing Yan, Hsin Yuan Chen, Peter B. Luh, Simon Wang, Joey Chang Optimization-based litho machine scheduling with load balancing and reticle expiration. Search on Bibsonomy CASE The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
29Bin Li, Feiyan Liao, Hao Meng, Yanchun Zhong Application of spatial database in quantitative analysis of litho-paleogeography - A case study of a middle ordovician sequence interval in the Ordos Basin. Search on Bibsonomy Geo spatial Inf. Sci. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
29Sergio Gómez, Francesc Moll, Antonio Rubio 0001, Martin Elhøj, Guilherme Schlinker, Nigel Woolaway Design Guidelines towards Compact Litho-Friendly Regular cells. Search on Bibsonomy ARCS Workshops The full citation details ... 2011 DBLP  BibTeX  RDF
29Vivek Singh Litho and design: moore close than ever. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
29Bing Yan, Hsin Yuan Chen, Peter B. Luh, Simon Wang, Joey Chang Optimization-based litho machine scheduling with multiple reticles and setups. Search on Bibsonomy CASE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
29Rance Rodrigues, Sandip Kundu A mask double patterning technique using litho simulation by wavelet transform. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF double patterning lithography, edge placement error, polygon stitch, wavelet transform
29Lanny L. Lewyn, Markus Loose A 1.5mW 16b ADC with improved segmentation and centroiding algorithms and litho-friendly physical design (LFD) used in space telescope imaging applications. Search on Bibsonomy CICC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Franklin M. Schellenberg Design for Manufacturing in the Semiconductor Industry: The Litho/Design Workshops. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Yang-Shan Tong, Chia-Wei Lin, Sao-Jie Chen An automatic optical-simulation-based lithography hotspot fix flow for post-route optimization. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF routing, opc, lithography, hotspot
19Wojciech Maly Vertical slit transistor based integrated circuits (VeSTICs) paradigm. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dual gate transistor, ic deign-manufacturing paradigm, vertical channel, vesfet, 3d integration, regular fabric, dfm
19Nancy Ying Zhou, Rouwaida Kanj, Kanak Agarwal, Zhuo Li 0001, Rajiv V. Joshi, Sani R. Nassif, Weiping Shi The impact of BEOL lithography effects on the SRAM cell performance and yield. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Rob Aitken, Jerry Bautista, Wojciech Maly, Jan M. Rabaey More Moore: foolish, feasible, or fundamentally different? Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Jason Cong, Karthik Gururaj, Guoling Han, Adam Kaplan, Mishali Naik, Glenn Reinman MC-Sim: an efficient simulation tool for MPSoC designs. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Aswin Sreedhar, Sandip Kundu Modeling and analysis of non-rectangular transistors caused by lithographic distortions. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Nancy Ying Zhou, Zhuo Li 0001, Yuxin Tian, Weiping Shi, Frank Liu 0001 A New Methodology for Interconnect Parasitics Extraction Considering Photo-Lithography Effects. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19David Cross, Eric Nequist, Louis Scheffer A DFM aware, space based router. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Aswin Sreedhar, Sandip Kundu On modeling impact of sub-wavelength lithography on transistors. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Philippe Magarshack Design challenges in 45nm and below: DFM, low-power and design for reliability. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF design for reliability, low-power design, design for manufacturability
19Ritu Singhal, Asha Balijepalli, Anupama R. Subramaniam, Frank Liu 0001, Sani R. Nassif, Yu Cao 0001 Modeling and Analysis of Non-Rectangular Gate for Post-Lithography Circuit Simulation. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Mark A. Lavin, Lars Liebmann CAD computation for manufacturability: can we save VLSI technology from itself? Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Franklin M. Schellenberg, Luigi Capodieci Impact of RET on physical layouts. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF off-axis illumination, physical verification, simulation, DFM, OPC, lithography, RET, phase-shifting, PSM
19Warren Grobman, Robert Boone, Cece Philbin, Bob Jarvis Reticle enhancement technology trends: resource and manufacturability implications for the implementation of physical designs. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #46 of 46 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license