The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for memory with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1952-1956 (24) 1957-1958 (17) 1959 (20) 1960 (17) 1961 (20) 1962 (17) 1963 (24) 1964 (22) 1965 (27) 1966 (29) 1967 (40) 1968 (60) 1969 (48) 1970 (32) 1971 (44) 1972 (41) 1973 (56) 1974 (67) 1975 (60) 1976 (77) 1977 (87) 1978 (77) 1979 (62) 1980 (79) 1981 (81) 1982 (91) 1983 (85) 1984 (104) 1985 (113) 1986 (165) 1987 (247) 1988 (320) 1989 (365) 1990 (508) 1991 (459) 1992 (558) 1993 (640) 1994 (769) 1995 (869) 1996 (882) 1997 (1083) 1998 (999) 1999 (1347) 2000 (1442) 2001 (1476) 2002 (1846) 2003 (2025) 2004 (2507) 2005 (2923) 2006 (3532) 2007 (3464) 2008 (3489) 2009 (2898) 2010 (1874) 2011 (1780) 2012 (1705) 2013 (1907) 2014 (2006) 2015 (2279) 2016 (2543) 2017 (2605) 2018 (2913) 2019 (3179) 2020 (3272) 2021 (3496) 2022 (3657) 2023 (3967) 2024 (861)
Publication types (Num. hits)
article(26313) book(69) data(9) incollection(391) inproceedings(46315) phdthesis(1160) proceedings(121)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 35972 occurrences of 8751 keywords

Results
Found 74385 publication records. Showing 74378 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
72Chuan Yue, Richard Tran Mills, Andreas Stathopoulos, Dimitrios S. Nikolopoulos Runtime Support for Memory Adaptation in Scientific Applications via Local Disk and Remote Memory. Search on Bibsonomy HPDC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF memory server, memory adaptation, local disk memory, remote memory capability, shared computational resource, network memory, memory malleability, MPI communication, cache memory, scientific application, virtual memory system
61Chen Ding 0001, Chengliang Zhang, Xipeng Shen, Mitsunori Ogihara Gated memory control for memory monitoring, leak detection and garbage collection. Search on Bibsonomy Memory System Performance The full citation details ... 2005 DBLP  DOI  BibTeX  RDF memory usage monitoring, object life, preventive memory management, memory leak, program phase
58Justin Teller, Charles B. Silio Jr., Bruce L. Jacob Performance characteristics of MAUI: an intelligent memory system architecture. Search on Bibsonomy Memory System Performance The full citation details ... 2005 DBLP  DOI  BibTeX  RDF MAUI memory architecture, SimpleScalar simulator, data-intensive calculations, intelligent memory, memory architecture, vector processing, SIMD processing
57Gertrud S. Joachim Memory Efficiency. Search on Bibsonomy J. ACM The full citation details ... 1959 DBLP  DOI  BibTeX  RDF
56Masato Oguchi, Hitoshi Aida, Tadao Saito A Proposal for a DSM Architecture Suitable for a Widely Distributed Environment and its Evaluation. Search on Bibsonomy HPDC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF distributed shared memory architecture, widely distributed environment, functionally distributed computing, software programming, replicated shared memory, internal machine memory, SPARCstations, SCRAMNet, latency hiding techniques, performance evaluation, parallel architectures, shared memory systems, distributed memory systems, data prefetching, multi-thread programming, shared virtual memory
56John G. Cleary, Murray Pearson, Husam Kinawi The architecture of an optimistic CPU: the WarpEngine. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF optimistic CPU, WarpEngine, shared memory CPU, single instructions, memory latency tolerance, executable instructions, TimeWarp algorithm, optimistic, single linear address space, single thread of control, reliability, caches, parallel architectures, fault tolerant computing, concurrency control, synchronisation, synchronisation, shared memory systems, memory architecture, cache storage, memory system, memory model, time stamped, memory accesses, local memory
51Mojtaba Mehrara, Todd M. Austin Reliability-aware data placement for partial memory protection in embedded processors. Search on Bibsonomy Memory System Performance and Correctness The full citation details ... 2006 DBLP  DOI  BibTeX  RDF memory lifetime, partial memory protection, selective data placement, embedded systems, soft errors
51Richard Tran Mills, Chuan Yue, Andreas Stathopoulos, Dimitrios S. Nikolopoulos Runtime and Programming Support for Memory Adaptation in Scientific Applications via Local Disk and Remote Memory. Search on Bibsonomy J. Grid Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Shared computational pools, Network RAM, Scientific libraries, Autonomic computing, Memory management
50Henk L. Muller, Paul W. A. Stallard, David H. D. Warren The Role of Associative Memory in Virtual Shared Memory Architectures: A Price-Performance Comparison. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF virtual shared memory architectures, price-performance, set associative memory, large coherent cache, performance evaluation, benchmarks, parallel machines, memory hierarchy, shared memory systems, costing, cost, associative memory, memory architecture, content-addressable storage, application specific, virtual storage, CC-NUMA, COMA, miss ratios
49Sajal K. Das 0001, Sanjoy K. Sen Analysis of Memory Interference in Buffered Multiprocessor Systems in Presence of Hot Spots and Favorite Memories. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF buffered multiprocessor systems, discrete Markov chain model, processor-memory interconnections, hot memory, favorite memory, mean queue length, memory request, asymptotic bandwidth, performance evaluation, Markov processes, shared memory systems, upper bound, hot spots, simulation studies, memory interference, mean waiting time
49Peter S. Magnusson, Bengt Werner Efficient memory simulation in SimICS. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF efficient memory simulation, SimICS, instruction level simulator, complex memory hierarchies, user level code, system level code, software caching mechanism, Simulator Translation Cache, STC, interpreted memory operations, complex memory simulation code, lazy storage allocation, well defined internal interface, generic memory simulation, user extensions, threaded code, runtime selection, statistics gathering, memory profiling, data structures, data structures, virtual machines, multiprocessors, storage management, storage allocation
48Kevin T. Lim, Jichuan Chang, Trevor N. Mudge, Parthasarathy Ranganathan, Steven K. Reinhardt, Thomas F. Wenisch Disaggregated memory for expansion and sharing in blade servers. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF disaggregated memory, memory blades, memory capacity expansion, power and cost efficiencies
48Dhiraj D. Kalamkar, Mainak Chaudhuri, Mark A. Heinrich Simplifying Active Memory Clusters by Leveraging Directory Protocol Threads. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF active memory cluster, directory protocol thread, active memory address remapping, parallel reduction, coherence protocol extension, software protocol, multi-threaded node, dual-core node, active memory architecture, distributed shared memory, multiprocessor architecture, memory controller, matrix transpose
48Marc Leeman, David Atienza, Geert Deconinck, Vincenzo De Florio, José M. Mendías, Chantal Ykman-Couvreur, Francky Catthoor, Rudy Lauwereins Methodology for Refinement and Optimisation of Dynamic Memory Management for Embedded Systems in Multimedia Applications. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dynamic data types, multimedia, low power, memory management, memory hierarchy, memory bandwidth, dynamic memory management, system-level exploration, memory footprint
48Wei Zhao, Christos A. Papachristou Architectural partitioning of control memory for application specific programmable processors. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF application specific programmable processors, control memory, distributed microcode memory model, microcode memory, repetitive microcodes, distributed memory systems, memory architecture, programmability, microprogram, datapaths, firmware, memory module
47Motohiro Kawahito, Hideaki Komatsu, Toshio Nakatani Instruction combining for coalescing memory accesses using global code motion. Search on Bibsonomy Memory System Performance The full citation details ... 2004 DBLP  DOI  BibTeX  RDF instruction combining, memory access coalescing, Java, JIT compilers, IA-64, 64-bit architectures
47Ross McIlroy, Peter Dickman, Joe Sventek Efficient dynamic heap allocation of scratch-pad memory. Search on Bibsonomy ISMM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF on-core memory, concurrency, memory management
47Betty Prince Embedded non-volatile memories. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FeRAM, MONOS, PC-RAM, SONOS, floating gate memory, nanocrystal memory, nitride storage memory, trapping site memory, flash memory, embedded memory, non-volatile memory, MRAM
46Xiaogang Qiu, Michel Dubois 0001 Tolerating Late Memory Traps in Dynamically Scheduled Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
46Lixin Zhang 0002, John B. Carter, Wilson C. Hsieh, Sally A. McKee Memory System Support for Image Processing. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF bus utilization, image processing, virtual memory, memory architecture, memory bandwidth, memory latency, cache efficiency
46Peter Grun, Nikil D. Dutt, Alexandru Nicolau Aggressive Memory-Aware Compilation. Search on Bibsonomy Intelligent Memory Systems The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
46Mary W. Hall, Craig S. Steele Memory Management in a PIM-Based Architecture. Search on Bibsonomy Intelligent Memory Systems The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
46Yi Feng, Emery D. Berger A locality-improving dynamic memory allocator. Search on Bibsonomy Memory System Performance The full citation details ... 2005 DBLP  DOI  BibTeX  RDF vam, memory management, virtual memory, paging, fragmentation, allocator, cache locality
44Bülent Abali, Mohammad Banikazemi, Xiaowei Shen, Hubertus Franke, Dan E. Poff, T. Basil Smith Hardware Compressed Main Memory: Operating System Support and Performance Evaluation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Memory compression, memory and cache performance, webserver performance, memory management
44Feng Qin, Shan Lu 0001, Yuanyuan Zhou 0001 SafeMem: Exploiting ECC-Memory for Detecting Memory Leaks and Memory Corruption During Production Runs. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
44Gary S. Tyson, Todd M. Austin Improving the Accuracy and Performance of Memory Communication Through Renaming. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF data fetching, data value speculation, heap segment, instruction loading, memory access latency, memory communication, memory references, memory renaming, memory segments, processor pipeline, register access techniques, stores, performance, delays, accuracy, instruction-level parallelism, execution time, storage allocation, data dependence speculation, address calculation
44Stephen Lucci, Izidor Gertner, Anil Gupta, Uday Hegde Reflective-memory multiprocessor. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF reflective-memory multiprocessor, hardware-supported data replication, multiple computers, memory semantics, reflective memory implementation, Encore Infinity, spinlocks, cache coherency problems, massive replication, recovery procedure, crashed nodes, reliability, fault tolerant computing, shared memory systems, distributed memory systems, system recovery, cache storage, cached architectures, distributed shared memory multiprocessor
43Mark S. Ackerman, Eric Mandel Memory in the small: an application to provide task-based organizational memory for a scientific community . Search on Bibsonomy HICSS (4) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF astronomy computing, scientific community, performance support mechanism, memory-in-the-small, astrophysicists, knowledge based systems, memory architecture, organizational memory, task performance, ASSIST
43Easwaran Raman, David I. August Recursive data structure profiling. Search on Bibsonomy Memory System Performance The full citation details ... 2005 DBLP  DOI  BibTeX  RDF RDS, dynamic shape graph, list linearization, memory profiling, shape profiling
43Leonidas I. Kontothanassis, Michael L. Scott Using Memory-Mapped Network Interfaces to Improve the Performance of Distributed Shared Memory. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF memory-mapped network interfaces, cache fills, fine-grain access faults, parallel algorithms, protocols, message passing, latency, bandwidth, shared memory systems, distributed shared memory, distributed memory systems, network interfaces, network interfaces, memory-mapped
43Matthew E. Tolentino, Joseph Turner, Kirk W. Cameron Memory-miser: a performance-constrained runtime system for power-scalable clusters. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF resource allocation, control, power management, memory
42Jeonghun Cho, Yunheung Paek Run-Time Memory Optimization for DDMB Architecture Through a CCB Algorithm. Search on Bibsonomy EUC Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dual data memory banks, compiler and on-chip memory, DSP, Run-time environment
42Ke Ning, David R. Kaeli External memory page remapping for embedded multimedia systems. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF memory coloring, memory page remapping, embedded systems, memory controllers
42Thomas Stricker, Thomas R. Gross Global Address Space, Non-Uniform Bandwidth: A Memory System Performance Characterization of Parallel Systems. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF nonuniform bandwidth, memory system performance characterization, local memory accesses, remote write, cost benefit model, DEC Alpha based parallel systems, DEC-Alpha processor architecture, DEC 8400, scalability, compiler, parallel systems, empirical evaluation, memory architecture, coherency, cache storage, access pattern, spatial locality, local memory, global address space, Cray T3E, Cray T3D, clock speed
42Milan M. Jovanovic, Milo Tomasevic, Veljko M. Milutinovic A simulation-based comparison of two reflective memory approaches. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF simulation-based comparison, reflective memory approaches, Reflective Memory/Memory Channel, RM/MC system, bus-based system architecture, update consistency mechanism, block transfers, simulation analysis, synthetic workload model, real-time response, run-time actions, compile-time actions, performance evaluation, real-time systems, virtual machines, shared memory systems, distributed memory systems, system buses, message latency, data handling, distributed shared memory systems, shared data
42Kunal Agrawal, Charles E. Leiserson, Jim Sukha Memory models for open-nested transactions. Search on Bibsonomy Memory System Performance and Correctness The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Kartik Sudeep, Ahmed Gheith Application analysis using memory pressure. Search on Bibsonomy Memory System Performance The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
42Hiroshi Nakamura, Masaaki Kondo, Taisuke Boku Software Controlled Reconfigurable On-Chip Memory for High Performance Computing. Search on Bibsonomy Intelligent Memory Systems The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
41Sze Wei Lee, Soon-Chieh Lim An Enhanced Memory Address Mapping Scheme for Improved Memory Access Performance of 2-D DWT Processing Systems. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 2-D DWT processing systems, memory mapping scheme, memeory access, memory bandwidth
41Chitra Natarajan, Bruce Christenson, Faye A. Briggs A study of performance impact of memory controller features in multi-processor server environment. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF memory transaction scheduling, server systems, multi-processors, memory controller, memory subsystem, performance impact
41Robert Cooksey, Dennis Colarelli, Dirk Grunwald Content-Based Prefetching: Initial Results. Search on Bibsonomy Intelligent Memory Systems The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
41Samir Ranjan Das, Richard Fujimoto An Empirical Evaluation of Performance-Memory Trade-Offs in Time Warp. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF performance evaluation, Discrete event simulation, memory management, checkpointing, Time Warp, rollback, parallel and distributed simulation, virtual time
41Matthew Hertz, Emery D. Berger Quantifying the performance of garbage collection vs. explicit memory management. Search on Bibsonomy OOPSLA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF explicit memory management, oracular memory management, performance analysis, throughput, garbage collection, paging, time-space tradeoff
41Mohamed Shalan, Vincent John Mooney III Hardware support for real-time embedded multiprocessor system-on-a-chip memory management. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Atalanta, SoCDMMU, real-time operating systems., two-level memory management, real-time systems, embedded systems, System-on-a-Chip, dynamic memory management
40Gab Joong Jeong, Kyoung Hwan Kwon, Moon Key Lee, Seung Han An A Scalable Memory System Design. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF scalable memory system, pipeline technique, systolic data flow, sub-memory blocks, partial binary tree structure, multidirectional data flow, chip size, 4 kbit, 0.8 micron, 5.1 ns, 3.5 mm, throughput, latency, memory architecture, memory architecture, CMOS technology, communication channel, access time, operating speed, clock speed
40Sangyeun Cho, Joel R. Martin, Ruibin Xu, Mohammad H. Hammoud, Rami G. Melhem CA-RAM: A High-Performance Memory Substrate for Search-Intensive Applications. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF high-performance search accelerator, high-performance memory substrate, search-intensive application, content addressable random access memory, search operation, memory hierarchy concept, direct hardware implementation, parallel key matching operation, hash function, memory access, application-specific processor, memory structure, hashing technique
40Dae Wook Bang, Yoo Kun Cho Distributed shared memory for function-grained graph reduction machine. Search on Bibsonomy PDP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF function-grained graph reduction machine, efficient DSM system, virtual global memory, function grained graph reduction machine, graph nodes, function applications, global memory access system, distributed graph nodes, H-object, memory coherence problem, weak coherence semantics, read operations, transputer network system, graph theory, resource allocation, parallel machines, parallel machine, distributed shared memory, distributed memory systems, processing elements, virtual storage, transputer systems
40Ian Watson, Alasdair Rawsthorne Decoupled pre-fetching for distributed shared memory. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF partial evaluation (compilers), distributed shared memory environment, decoupled pre-fetching, global view, remote memory copies, user annotations, compile-time analysis, run-time prediction, irregular access patterns, dual processor structure, partial program evaluation, data fetches, parallel architectures, parallel machine, shared memory systems, distributed memory systems, memory architecture
40Lixin Zhang 0002, Venkata K. Pingali, Bharat Chandramouli, John B. Carter Memory System Support for Dynamic Cache Line Assembly. Search on Bibsonomy Intelligent Memory Systems The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
40Caroline Benveniste, Peter A. Franaszek, John T. Robinson Cache-Memory Interfaces in Compressed Memory Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF memory compression, performance analysis, trace-driven simulation, cache design, Memory system design
40Andreas Jürgen Lachenmann, Pedro José Marrón, Matthias Gauger, Daniel Minder, Olga Saukh, Kurt Rothermel Removing the memory limitations of sensor networks with flash-based virtual memory. Search on Bibsonomy EuroSys The full citation details ... 2007 DBLP  DOI  BibTeX  RDF wireless sensor networks, flash memory, virtual memory, memory layout
39Darren J. Kerbyson, Michael Lang 0003, Gene Patino, Hossein Amidi An empirical performance analysis of commodity memories in commodity servers. Search on Bibsonomy Memory System Performance The full citation details ... 2004 DBLP  DOI  BibTeX  RDF performance analysis, performance measurement, memory modules, memory system performance
39Michael C. Huang 0001, Jose Renau, Seung-Moon Yoo, Josep Torrellas Energy/Performance Design of Memory Hierarchies for Processor-in-Memory Chips. Search on Bibsonomy Intelligent Memory Systems The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
39Yoonseo Choi, Hwansoo Han Shared heap management for memory-limited java virtual machines. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF heap sharing, memory protection unit, garbage collection, Dynamic memory management
39Amin Firoozshahian, Alex Solomatnikov, Ofer Shacham, Zain Asgar, Stephen Richardson, Christos Kozyrakis, Mark Horowitz A memory system design framework: creating smart memories. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF memory access protocol, protocol controller, transactional memory, reconfigurable architecture, cache coherence, memory systems, multi-core processors, stream programming
39Jung-Hoon Lee Next High Performance and Low Power Flash Memory Package Structure. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF NAND-type, NOR-type, memory localities, buffer or cache memory, flash memory
39Huu Hai Nguyen, Martin C. Rinard Detecting and eliminating memory leaks using cyclic memory allocation. Search on Bibsonomy ISMM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cyclic memory allocation, failure-oblivious computing, memory leaks
38Shoaib Kamil 0001, Parry Husbands, Leonid Oliker, John Shalf, Katherine A. Yelick Impact of modern memory subsystems on cache optimizations for stencil computations. Search on Bibsonomy Memory System Performance The full citation details ... 2005 DBLP  DOI  BibTeX  RDF performance modeling, prefetch, stencil, cache blocking
38Richard C. Murphy, Peter M. Kogge, Arun Rodrigues The Characterization of Data Intensive Memory Workloads on Distributed PIM Systems. Search on Bibsonomy Intelligent Memory Systems The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
38Quan T. Tran, Elizabeth D. Mynatt, Gina Calcaterra Using Memory Aid to Build Memory Independence. Search on Bibsonomy HCI (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF memory aid, personal autonomy, Home, self-efficacy, cooking
38Jiang Lin, Hongzhong Zheng, Zhichun Zhu, Zhao Zhang 0010, Howard David DRAM-Level Prefetching for Fully-Buffered DIMM: Design, Performance and Power Saving. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF channel bandwidth utilization, DRAM-level prefetching, dynamic random access memory, fully-buffered DIMM, dual in-line memory module, redundant bandwidth, memory block, L2 cache block, DRAM power consumption, SPEC2000 program, software cache prefetching, idle memory latency, power saving, multicore processor, memory controller, interconnect structure, DRAM chip
38Nicholas Freitag McPhee, Riccardo Poli Memory with memory: soft assignment in genetic programming. Search on Bibsonomy GECCO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF linear GP, memory with memory, soft assignment, genetic programming, symbolic regression
38Junkil Ryu, Chanik Park Fast Initialization and Memory Management Techniques for Log-Based Flash Memory File Systems. Search on Bibsonomy ICESS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF log-based file system, file system initialization, efficient memory management, flash memory, high performance
38Bernhard Egger 0002, Jaejin Lee, Heonshik Shin Scratchpad memory management for portable systems with a memory management unit. Search on Bibsonomy EMSOFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF compilers, virtual memory, paging, scratchpad, code placement, postpass optimization, portable systems, heterogeneous memory
38Xiaogang Qiu, Michel Dubois 0001 Moving Address Translation Closer to Memory in Distributed Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dynamic address translation, virtual-address caches, simulations, Multiprocessors, distributed shared memory, virtual memory
38Mark S. Ackerman, Christine Halverson Organizational Memory as Objects, Processes, and Trajectories: An Examination of Organizational Memory in Use. Search on Bibsonomy Comput. Support. Cooperative Work. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF memory reuse, trajectories of information, knowledge management, distributed cognition, organizational memory, contextualization, boundary objects, corporate memory, information reuse, collective memory
37Hongzhong Zheng, Jiang Lin, Zhao Zhang 0010, Zhichun Zhu Decoupled DIMM: building high-bandwidth memory system using low-speed DRAM devices. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF bandwidth decoupling, decoupled DIMM, DRAM memories
37David Elsweiler Supporting human memory in personal information management. Search on Bibsonomy SIGIR Forum The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
37Zuo Wang, Feng Shi 0009, Qi Zuo, Weixing Ji, Mengxiao Liu N-port memory mapping for LUT-based FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF logical-to-physical mapping, n-port memory, fpga, hierarchy
37Bernhard Egger 0002, Jaejin Lee, Heonshik Shin Dynamic scratchpad memory management for code in portable systems with an MMU. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF compilers, virtual memory, paging, scratchpad, victim cache, Code placement, postpass optimization, portable systems, heterogeneous memory
37Prabhat Mishra 0001, Mahesh Mamidipaka, Nikil D. Dutt Processor-memory coexploration using an architecture description language. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Processor-memory codesign, memory exploration, design space exploration, architecture description language
37Robert C. Steinke, Gary J. Nutt A unified theory of shared memory consistency. Search on Bibsonomy J. ACM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF memory consistency model lattice, memory consistency models, Distributed shared memory systems
37Andreas Moshovos, Gurindar S. Sohi Streamlining Inter-Operation Memory Communication via Data Dependence Prediction. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF DEF-store-load-USE chains, address disambiguation, data cache access, data cache bandwidth requirements, data dependence prediction, inter-operation memory communication, memory dependences, memory hierarchy design, transient value cache, storage management, memory architecture, communication latency, instruction window, address calculation
37Anna M. del Corral, José M. Llabería Access order to avoid inter-vector-conflicts in complex memory systems. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF access order, inter-vector-conflicts, complex memory systems, vector processor accessing vectors, concurrent memory access, inter-conflicts, performance evaluation, performance, vector processor systems, memory subsystem, memory modules
37Chung-Len Lee, Horng Nan Chern, Min Shung Liao, Hui Min Wang On Designing of 4-Valued Memory with Double-Gate TFT. Search on Bibsonomy ISMVL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF thin film transistors, 4-valued memory, double-gate TFT, 4 valued memory cell, double gate thin film transistor, double gate TFT, HSPICE simulation, resistor load, CMOS load basic block circuit, memory cell circuits, SRAM cell circuit, memory architecture, integrated circuit design, multivalued logic, SPICE, circuit design, multivalued logic circuits, SRAM chips, CMOS memory circuits, equivalent circuits, equivalent circuit
37Jelica Protic, Milo Tomasevic, Veljko M. Milutinovic A survey of distributed shared memory systems. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF shared memory programming paradigm, physically distributed memories, classification taxonomy, classification criteria, DSM mechanism, hybrid DSM implementations, distributed systems, parallel programming, shared memory multiprocessors, shared memory systems, distributed memory systems, distributed shared memory systems, DSM systems
37Dan Grossman, Jeremy Manson, William W. Pugh What do high-level memory models mean for transactions? Search on Bibsonomy Memory System Performance and Correctness The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Vera A. Kazakova, Jena D. Hwang, Bonnie J. Dorr, Yorick Wilks, J. Blake Gage, Alex Memory, Mark A. Clark SPLAIN: Augmenting Cybersecurity Warnings with Reasons and Data. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
36Donald Flywell Malanga, Memory Banda ICT Use and Livelihoods of Women Microenterprises in Malawi. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
36Faridah, Sunarno, Sentagi Sesotya Utami, Emilya Nurjani, Muhammad Ilham Hanif, Memory Motivanisman Waruwu, Rony Wijaya Optimisation of the data point configurations in a building environmental monitoring system. Search on Bibsonomy Int. J. Commun. Networks Distributed Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
36Mack Blackburn, Ning Yu, Alex Memory, W. Graham Mueller Detecting and Annotating Narratives in Social Media: A Vision Paper. Search on Bibsonomy ICWSM Workshops The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
36Angelika Kimmig, Alex Memory, Renée J. Miller, Lise Getoor A Collective, Probabilistic Approach to Schema Mapping Using Diverse Noisy Evidence. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
36Memory Rukasha, Felix Olu Bankole Impact of e-Commerce on Corporate Governance and Ethics. Search on Bibsonomy CONF-IRM The full citation details ... 2019 DBLP  BibTeX  RDF
36W. Graham Mueller, Alex Memory, Kyle Bartrem Causal Discovery of Cyber Attack Phases. Search on Bibsonomy ICMLA The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
36Memory Tauringana An investigation into the adoption of e-commerce among older people. Search on Bibsonomy 2019   RDF
36Alex Memory Collective Relational Data Integration with Diverse and Noisy Evidence. Search on Bibsonomy 2019   RDF
36Laura Smith, Rebecca O'Rourke, Memory Van Beek, Suzanne Bickerdike, Rania Alkhadragy Local and Global Dimensions of a Clinical Skills E-book Development Project. Search on Bibsonomy EC-TEL (Practitioner Proceedings) The full citation details ... 2018 DBLP  BibTeX  RDF
36Angelika Kimmig, Alex Memory, Renée J. Miller, Lise Getoor A Collective, Probabilistic Approach to Schema Mapping: Appendix. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
36Angelika Kimmig, Alex Memory, Renée J. Miller, Lise Getoor A Collective, Probabilistic Approach to Schema Mapping. Search on Bibsonomy ICDE The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
36Memory Machiridza Misalignment challenges when integrating security requirements into mobile banking application development. Search on Bibsonomy CONF-IRM The full citation details ... 2016 DBLP  BibTeX  RDF
36Henry G. Goldberg, William T. Young, Alex Memory, Ted E. Senator Explaining and Aggregating Anomalies to Detect Insider Threats. Search on Bibsonomy HICSS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
36William T. Young, Alex Memory, Henry G. Goldberg, Ted E. Senator Detecting Unknown Insider Threat Scenarios. Search on Bibsonomy IEEE Symposium on Security and Privacy Workshops The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
36Ted E. Senator, Henry G. Goldberg, Alex Memory, William T. Young, Brad Rees, Robert Pierce, Daniel Huang 0003, Matthew Reardon, David A. Bader, Edmond Chow, Irfan A. Essa, Joshua Jones, Vinay Bettadapura, Duen Horng Chau, Oded Green, Oguz Kaya, Anita Zakrzewska, Erica Briscoe, Rudolph L. Mappus IV, Robert McColl, Lora Weiss, Thomas G. Dietterich, Alan Fern, Weng-Keen Wong, Shubhomoy Das, Andrew Emmott, Jed Irvine, Jay Yoon Lee, Danai Koutra, Christos Faloutsos, Daniel D. Corkill, Lisa Friedland, Amanda Gentzel, David D. Jensen Detecting insider threats in a real corporate database of computer usage activity. Search on Bibsonomy KDD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
36William T. Young, Henry G. Goldberg, Alex Memory, James F. Sartain, Ted E. Senator Use of Domain Knowledge to Detect Insider Threats in Computer Activities. Search on Bibsonomy IEEE Symposium on Security and Privacy Workshops The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
36Alex Memory, Angelika Kimmig, Stephen H. Bach, Louiqa Raschid, Lise Getoor Graph Summarization in Annotated Data Using Probabilistic Soft Logic. Search on Bibsonomy URSW The full citation details ... 2012 DBLP  BibTeX  RDF
36Sally A. McKee, William A. Wulf, James H. Aylor, Robert H. Klenke, Maximo H. Salinas, Sung I. Hong, Dee A. B. Weikle Dynamic Access Ordering for Streamed Computations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Memory systems architecture, memory access ordering, memory access scheduling, memory bandwidth, memory latency
36V. Kim, T. Chen Assessing SRAM test coverage for sub-micron CMOS technologies. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF submicron CMOS technologies, SRAM test coverage assessment, memory fault probability model, memory array, data retention faults, memory fault coverages, memory test algorithms, functional fault class coverages, 0.5 to 1 mum, stuck-at faults, transition faults, stuck-open faults, coupling faults, physical defects, CMOS memory circuits
36Gyungho Lee An assessment of COMA multiprocessors. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Cache Only Memory Architecture, Perfect Club Benchmark Suite, coherence policy, performance evaluation, performance, discrete event simulation, memory hierarchy, shared memory systems, distributed memory systems, update, trace driven simulations, cache storage, network traffic, miss ratio, distributed shared memory multiprocessors, shared address space, invalidate
36Hung-Wei Tseng 0001, Han-Lin Li, Chia-Lin Yang An energy-efficient virtual memory system with flash memory as the secondary storage. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF embedded storages, embedded systems, virtual memory, NAND flash memory, page replacement
36Wolfgang K. Giloi, C. Hastedt, Friedrich Schön, Wolfgang Schröder-Preikschat A Distributed Implementation of Shared Virtual Memory with Strong and Weak Coherence. Search on Bibsonomy EDMCC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF virtual shared memory architecture, strong and weak data coherence, communication hardware, parallelizing compilers, Distributed memory architecture
Displaying result #1 - #100 of 74378 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license