The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase micro-architecture (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-1998 (16) 1999-2000 (16) 2001-2003 (27) 2004 (21) 2005 (24) 2006 (25) 2007 (22) 2008 (23) 2009 (19) 2010-2012 (17) 2013-2015 (17) 2016-2019 (22) 2020-2024 (14)
Publication types (Num. hits)
article(42) incollection(2) inproceedings(214) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 193 occurrences of 163 keywords

Results
Found 263 publication records. Showing 263 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
51Allon Adir, Hezi Azatchi, Eyal Bin, Ofer Peled, Kirill Shoikhet A generic micro-architectural test plan approach for microprocessor verification. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF generic test plan, test generation, coverage, micro-architecture, dynamic verification
41Shai Erez, Guy Even An improved micro-architecture for function approximation using piecewise quadratic interpolation. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
39Ashok Jagannathan, Hannah Honghua Yang, Kris Konigsfeld, Dan Milliron, Mosur Mohan, Michail Romesis, Glenn Reinman, Jason Cong Microarchitecture evaluation with floorplanning and interconnect pipelining. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Pramod Ramarao, Akhilesh Tyagi An Adiabatic Framework for a Low Energy µ-Architecture & Compiler. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Heon-Mo Koo, Prabhat Mishra 0001, Jayanta Bhadra, Magdy S. Abadir Directed Micro-architectural Test Generation for an Industrial Processor: A Case Study. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Dmitry G. Korzun, Andrei V. Gurtov A local equilibrium model for P2P resource ranking. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34Bill Lin 0001, Jun (Jim) Xu, Nan Hua, Hao Wang 0006, Haiquan (Chuck) Zhao A randomized interleaved DRAM architecture for the maintenance of exact statistics counters. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34Sipat Triukose, Zhihua Wen, Michael Rabinovich Content delivery networks: how big is big enough? Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34Alma Riska, Erik Riedel Evaluation of disk-level workloads at different time scales. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34Mathew S. Thoennes, Charles C. Weems Exploration of the Performance of a Data Mining Application via Hardware Based Monitoring. Search on Bibsonomy J. Supercomput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF simulation, data mining, performance, monitoring, application, tuning, micro-architecture
32Faye A. Briggs, Suresh Chittor, Kai Cheng Micro-architecture techniques in the intel E8870 scalable memory controller. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF distributed coherency, transaction flows, scalability, memory latency
31Eric Schnarr, Mark D. Hill, James R. Larus Facile: A Language and Compiler for High-Performance Processor Simulators. Search on Bibsonomy PLDI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF micro-architecture simulation, out-of-order processor simulation, partial evaluation, memoization
30Wai Sum Mong, Jianwen Zhu A retargetable micro-architecture simulator. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Chris R. Jesshope, Bing Luo Micro-Threading: A New Approach to Future RISC. Search on Bibsonomy ACAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29Lucanus J. Simonson, Lei He 0001 Micro-architecture Performance Estimation by Formula. Search on Bibsonomy SAMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Lev Kirischian, Irina Terterian, Pil Woo Chun, Vadim Geurkov Re-Configurable Parallel Stream Processor with Self-Assembling and Self-Restorable Micro-Architecture. Search on Bibsonomy PARELEC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Wonbok Lee, Kimish Patel, Massoud Pedram B2Sim: : a fast micro-architecture simulator based on basic block characterization. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF basic block, micro-architecture simulation, program behavior
26Hala A. Farouk, Magdy Saeb An Improved FPGA Implementation of the Modified Hybrid Hiding Encryption Algorithm (MHHEA) for Data Communication Security. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25David A. Dunn, Wei-Chung Hsu Instruction Scheduling for the HP PA-8000. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF HP PA-8000, instruction polarity cache interfaces, memory dependences, production compiler, scheduling, latency, compiler optimization, instruction scheduling, resource constraints, micro-architecture
24Antti Evesti, Susanna Pantsar-Syväniemi Towards micro architecture for security adaptation. Search on Bibsonomy ECSA Companion Volume The full citation details ... 2010 DBLP  DOI  BibTeX  RDF quality, smart space, run-time
24Eyal Bin, Laurent Fournier Micro-Architecture Verification for Microprocessors. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Khushwinder Jasrotia, Jianwen Zhu Stacked FSMD: A Power Efficient Micro-Architecture for High Level Synthesis. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24J. H. Jacobs, Augustus K. Uht, R. C. Ord Modeling the effects of instruction queue loading on a static instruction stream micro-architecture. Search on Bibsonomy MICRO The full citation details ... 1988 DBLP  BibTeX  RDF
23Shu-Lin Hwang, Feipei Lai Two Cache Lines Prediction for a Wide-Issue Micro-architecture. Search on Bibsonomy ACSAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Steven Balensiefer, Lucas Kreger-Stickles, Mark Oskin An Evaluation Framework and Instruction Set Architecture for Ion-Trap Based Quantum Micro-Architectures. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22James Burns, Jean-Luc Gaudiot Quantifying the SMT Layout Overhead-Does SMT Pull Its Weight? Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Simultaneous Multi-Threading (SMT)
22Alina Toma Joint super-resolution/segmentation approaches for the tomographic images analysis of the bone micro-architecture. (Approches conjointes de super-résolution / segmentation pour l'analyse des images tomographiques de la micro-architecture osseuse). Search on Bibsonomy 2016   RDF
21Liwen Shih Microprogramming heritage of RISC design. Search on Bibsonomy MICRO The full citation details ... 1990 DBLP  BibTeX  RDF
19Samarjit Chakraborty, Tulika Mitra, Abhik Roychoudhury, Lothar Thiele Cache-aware timing analysis of streaming applications. Search on Bibsonomy Real Time Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Timing analysis, Instruction cache, Streaming applications
19Douglas Samuel Kirk, Marc Roper, Murray Wood Identifying and addressing problems in object-oriented framework reuse. Search on Bibsonomy Empir. Softw. Eng. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Framework reuse, Empirical study, Documentation, Object-oriented frameworks, Qualitative study, Software comprehension
19Kostas Bousias, Chris R. Jesshope The Challenges of Massive On-Chip Concurrency. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Friman Sánchez, Esther Salamí, Alex Ramírez, Mateo Valero Performance Analysis of Sequence Alignment Applications. Search on Bibsonomy IISWC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Carl J. Mauer, Mark D. Hill, David A. Wood 0001 Full-system timing-first simulation. Search on Bibsonomy SIGMETRICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Victor V. Zyuban, Peter M. Kogge Optimization of high-performance superscalar architectures for energy efficiency. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Susanna Pantsar-Syväniemi, Eila Ovaska, Susanna Ferrari, Tullio Salmon Cinotti, Guido Zamagni, Luca Roffia, Sandra Mattarozzi, Valerio Nannini Case Study: Context-Aware Supervision of a Smart Maintenance Process. Search on Bibsonomy SAINT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF adaptation, context-awareness, smart environment, run-time, micro-architecture
18Rohit Sunkam Ramanujam, Vassos Soteriou, Bill Lin 0001, Li-Shiuan Peh Design of a High-Throughput Distributed Shared-Buffer NoC Router. Search on Bibsonomy NOCS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Router micro-architecture, On-chip interconnection networks
18Siddhesh S. Mhambrey, Lawrence T. Clark, Satendra Kumar Maurya, Krzysztof S. Berezowski Out-of-order issue logic using sorting networks. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF out-of-order processing, ILP, micro-architecture, issue queue, high speed circuits
18Thomas Baumann, Doris Schmitt-Landsiedel, Christian Pacha Architectural assessment of design techniques to improve speed and robustness in embedded microprocessors. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF variability-aware design, robustness, micro-architecture
18Tay-Jyi Lin, Shin-Kai Chen, Yu-Ting Kuo, Chih-Wei Liu, Pi-Chen Hsiao Design and Implementation of a High-Performance and Complexity-Effective VLIW DSP for Multimedia Applications. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF register organization, VLIW, digital signal processor, micro-architecture, instruction encoding
17Yuchun Ma, Zhuoyuan Li, Jason Cong, Xianlong Hong, Glenn Reinman, Sheqin Dong, Qiang Zhou 0001 Micro-architecture Pipelining Optimization with Throughput-Aware Floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF graph-based approach, microarchitecture pipelining optimization, throughput-aware floorplanning, block pipelining, interconnect pipelining, graph-based algorithm, mixed integer linear programming, wire pipelining
17Zhenyu Qi, Matthew M. Ziegler, Stephen V. Kosonocky, Jan M. Rabaey, Mircea R. Stan Multi-Dimensional Circuit and Micro-Architecture Level Optimization. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17 Intel® XScale® Micro-Architecture. Search on Bibsonomy Encyclopedia of Multimedia The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Hala A. Farouk, Magdy Saeb Design and implementation of a secret key steganographic micro-architecture employing FPGA. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Kolin Paul, Parimal Pal Chaudhuri, Dipanwita Roy Chowdhury Scalable Pipelined Micro-Architecture for Wavelet Transform. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Umesh Krishnaswamy, Isaac D. Scherson Micro-Architecture Evaluation Using Performance Vectors. Search on Bibsonomy SIGMETRICS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Forrest Brewer, Daniel Gajski Knowledge Based Control in Micro-Architecture Design. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
17Vangalur S. Alagar, Ralf Lämmel Three-Tiered Specification of Micro-architectures. Search on Bibsonomy ICFEM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF UML, interaction, formal methods, frameworks, design patterns, reuse, evolution, object-oriented design, micro-architectures
17 A D&T Roundtable: Power Delivery and Distribution. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Maria Mushtaq Software-based Detection and Mitigation of Microarchitectural Attacks on Intel's x86 Architecture. (Mise en oeuvre de mécanismes logiciels pour la détection et la prévention des attaques exploitant la micro-architecture des processeurs Intel x86). Search on Bibsonomy 2019   RDF
15Leszek Siwik, Kamil Wlodarczyk, Mateusz Kluczny Staged event-driven architecture as a micro-architecture of distributed and pluginable crawling platform. Search on Bibsonomy Comput. Sci. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Yong-Kyu Jung Fault-recovery Non-FPGA-based Adaptable Computing System Design. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Donglai Dai, Aniruddha S. Vaidya, Roy Saharoy, Seungjoon Park, Dongkook Park, Hariharan L. Thantry, Ralf Plate, Elmar Maas, Akhilesh Kumar, Mani Azimi FPGA-based prototyping of a 2D MESH / TORUS on-chip interconnect (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, routing algorithm, on-chip interconnect, router architecture
13Mohammad Hossein Neishaburi, Zeljko Zilic Reliability aware NoC router architecture using input channel buffer sharing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF system on chip, network on chip, virtual channel
13Ram Srinivasan, Jeanine E. Cook, Olaf M. Lubeck Performance modeling using Monte Carlo simulation. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Avi Mendelson Memory management challenges in the power-aware computing era. Search on Bibsonomy ISMM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Steve Carr 0001, Soner Önder A case for a working-set-based memory hierarchy. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache design, loop tiling
13Michael A. Howland, Robert A. Mueller, Philip H. Sweany Trace scheduling optimization in a retargetable microcode compiler. Search on Bibsonomy MICRO The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
12Håkon Ording Bugge An evaluation of Intel's core i7 architecture using a comparative approach. Search on Bibsonomy Comput. Sci. Res. Dev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SPEC MPI2007, Nehalem, iCore7, Harpertown, Quad-Core, QuickPath Interconnect, Moore’s Law, Benchmarking, Stream, Micro-benchmarks
12Anmol Mathur, Qi Wang Power Reduction Techniques and Flows at RTL and System Level. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Nathan Chong, Samin Ishtiaq Reasoning about the ARM weakly consistent memory model. Search on Bibsonomy MSPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF weakly consistent memory model, ARM
12Ludovic L'Hours Generating Efficient Custom FPGA Soft-Cores for Control-Dominated Applications. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Yong-Joon Park, Gyungho Lee Repairing return address stack for buffer overflow protection. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF computer architecture, computer security, buffer overflow, intrusion tolerance
12Alex C.-Y. Chang, Wu-An Kuo, Allen C.-H. Wu, TingTing Hwang G-MAC: An Application-Specific MAC/Co-Processor Synthesizer. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Stamatis Vassiliadis, Nikitas J. Dimopoulos, Jean-Francois Collard, Arndt Bode Topic Introduction. Search on Bibsonomy Euro-Par The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Hans M. Mulder, P. Stravers A flexible VLSI core for an adaptable architecture. Search on Bibsonomy MICRO The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
11Maryam Esmaeilian, Hakem Beitollahi Experimental evaluation of RISC-V micro-architecture against fault injection attack. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Folkert de Ronde, Matti Dreef, Stephan Wong, David Elkouss Micro-architecture and Control Electronics Simulation of Modular Color Center-Based Quantum Computers. Search on Bibsonomy SAMOS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Xiaoxiao Ma, Fan Yang, Zhan Wang, Ning Kang 0007, Xunjun An Understanding the Scalability Problem of RNIC Cache at the Micro-architecture Level. Search on Bibsonomy ICC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Giuseppe Maria Sarda, Nimish Shah, Debjyoti Bhattacharjee, Peter Debacker, Marian Verhelst Optimising GPGPU Execution Through Runtime Micro-Architecture Parameter Analysis. Search on Bibsonomy IISWC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Satyajit Bora, Roy Paily A High-Performance Core Micro-Architecture Based on RISC-V ISA for Low Power Applications. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
11Pruthvy Yellu, Landon Buell, Miguel Mark, Michel A. Kinsy, Dongpeng Xu 0001, Qiaoyan Yu Security Threat Analyses and Attack Models for Approximate Computing Systems: From Hardware and Micro-architecture Perspectives. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
11Sumesh Kumar, Fahad Saeed Communication-avoiding micro-architecture to compute Xcorr scores for peptide identification. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
11Qilin Si, M. Imtiaz Rashid, Benjamin Carrión Schäfer Micro-architecture Tuning for Dynamic Frequency Scaling in Coarse-Grain Runtime Reconfigurable Arrays with Adaptive Clock Domain Support. Search on Bibsonomy ISVLSI The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
11Sumesh Kumar, Fahad Saeed Communication-avoiding micro-architecture to compute Xcorr scores for peptide identification. Search on Bibsonomy FPL The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
11Zahra Kazemi, Amin Norollah, Afef Kchaou, Mahdi Fazeli, David Hély, Vincent Beroulle An In-Depth Vulnerability Analysis of RISC-V Micro-Architecture Against Fault Injection Attack. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
11Poulami Das 0005, Christopher A. Pattison, Srilatha Manne, Douglas M. Carmean, Krysta M. Svore, Moinuddin K. Qureshi, Nicolas Delfosse A Scalable Decoder Micro-architecture for Fault-Tolerant Quantum Computing. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
11Lauren De Meyer, Elke De Mulder, Michael Tunstall On the Effect of the (Micro)Architecture on the Development of Side-Channel Resistant Software. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2020 DBLP  BibTeX  RDF
11Juan Escobedo, Mingjie Lin DOMIS: Dual-Bank Optimal Micro-Architecture for Iterative Stencils. Search on Bibsonomy FPGA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
11Liang Zhu, Chao Chen 0022, Zihao Su, Weiguang Chen, Tao Li 0006, Zhibin Yu 0001 BBS: Micro-Architecture Benchmarking Blockchain Systems through Machine Learning and Fuzzy Set. Search on Bibsonomy HPCA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
11Linda Wang, Alexander Wong Enabling Computer Vision Driven Assistive Devices for the Visually Impaired via Micro-architecture Design Exploration. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
11Jilin W. J. L. Wang, Fabrizio Lombardi, Xiyun Zhang, Christelle Anaclet, Plamen Ch. Ivanov Non-equilibrium critical dynamics of bursts in θ and δ rhythms as fundamental characteristic of sleep and wake micro-architecture. Search on Bibsonomy PLoS Comput. Biol. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
11Andrés Rainiero Hernández Coronado, Wonjun Lee 0003 Are We Referring to the Same x86 64?: Detection of Cache Events in AMD's Zen Micro-architecture. Search on Bibsonomy ICDCS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
11Khoi Minh Huynh, Tiantian Xu, Ye Wu 0001, Geng Chen 0001, Kim-Han Thung, Haiyong Wu, Weili Lin, Dinggang Shen, Pew-Thian Yap Probing Brain Micro-architecture by Orientation Distribution Invariant Identification of Diffusion Compartments. Search on Bibsonomy MICCAI (3) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
11Sraman Choudhury, Srikar Chundury, Subramaniam Kalambur, Dinkar Sitaram Poster Paper Impact Of Software Stack Version On Micro-architecture. Search on Bibsonomy ICPE Companion The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
11Fang Li, Jinrong Han, Ziyuan Zhu, Dan Meng Spatial-Temporal Attention Network for Malware Detection Using Micro-architecture Features. Search on Bibsonomy IJCNN The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
11Yu-Zhe Wang, Jingjie Wu, Shi-Hao Chen, Mango Chia-Tso Chao, Chia-Hsiang Yang Micro-Architecture Optimization for Low-Power Bitcoin Mining ASICs. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
11Hoda Ahmadinejad, Omid Fatemi Moving towards grey-box predictive models at micro-architecture level by investigating inherent program characteristics. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
11Hadi Mardani Kamali, Kimia Zamiri Azar, Shaahin Hessabi DuCNoC: A High-Throughput FPGA-Based NoC Simulator Using Dual-Clock Lightweight Router Micro-Architecture. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
11Shun Wang, Xiaojuan Li, Yong Guan, Rui Wang 0024, Jie Zhang 0074 Executable Micro-Architecture Modeling and Automatic Verification of EtherCAT. Search on Bibsonomy SmartWorld/SCALCOM/UIC/ATC/CBDCom/IOP/SCI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
11Chikun Yuan, Letian Huang, Junshi Wang, Qiang Li 0021 Micro-Architecture Design for Low Overhead Fault Tolerant Network-on-Chip. Search on Bibsonomy ISCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
11P. Harsha A Novel Micro-Architecture Using a Simplified Logistic Map for Embedded Security. Search on Bibsonomy IEEE Embed. Syst. Lett. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
11Sander De Pestel, Stijn Eyerman, Lieven Eeckhout Linear Branch Entropy: Characterizing and Optimizing Branch Behavior in a Micro-Architecture Independent Way. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
11Zhiguo Liu, Ni Zhang, Qiu Tang, Ningning Song, Zengming Yu, Hongbin Zhang Saving Energy on Processor Micro-Architecture Level for Big Data Stream Mobile Computing. Search on Bibsonomy DSC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
11Don Kurian Dennis, Ayushi Priyam, Sukhpreet Singh Virk, Sajal Agrawal, Tanuj Sharma, Arijit Mondal, Kailash Chandra Ray Single cycle RISC-V micro architecture processor and its FPGA prototype. Search on Bibsonomy ISED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
11Siyuan Xu, Benjamin Carrión Schäfer Approximate Reconfigurable Hardware Accelerator: Adapting the Micro-Architecture to Dynamic Workloads. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
11Yun Long Lan, V. Muthukumar Efficient virtual channel allocator for NoC router micro-architecture. Search on Bibsonomy SoCC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
11Sam Van den Steen, Stijn Eyerman, Sander De Pestel, Moncef Mechri, Trevor E. Carlson, David Black-Schaffer, Erik Hagersten, Lieven Eeckhout Analytical Processor Performance and Power Modeling Using Micro-Architecture Independent Characteristics. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Haecheon Kim, Seungmin Lim, Junkee Yoon, Seungjae Baek, Jongmoo Choi, Seong-je Cho Analysis of micro-architecture resources interference on multicore NUMA systems. Search on Bibsonomy SAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Meenakshi Sundaram Bhaskaran Micro-Architecture and Systems Support for Emerging Non-Volatile Memories. Search on Bibsonomy 2016   RDF
11Zakaria Lakhdara, Salah Merniz A SysML and CLEAN Based Methodology for RISC Processor Micro-Architecture Design. Search on Bibsonomy Int. J. Embed. Real Time Commun. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 263 (100 per page; Change: )
Pages: [1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license