The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multiplexer with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1955-1987 (15) 1988-1990 (17) 1991-1992 (21) 1993-1994 (34) 1995 (27) 1996 (18) 1997 (27) 1998 (26) 1999 (23) 2000 (21) 2001 (25) 2002 (22) 2003 (25) 2004 (37) 2005 (33) 2006 (35) 2007 (39) 2008 (35) 2009 (17) 2010-2011 (26) 2012 (16) 2013-2014 (38) 2015 (19) 2016 (19) 2017 (18) 2018 (23) 2019 (25) 2020 (22) 2021 (30) 2022 (23) 2023 (18) 2024 (5)
Publication types (Num. hits)
article(312) incollection(1) inproceedings(466)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 460 occurrences of 312 keywords

Results
Found 779 publication records. Showing 779 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
132Ingo Schäfer, Marek A. Perkowski Synthesis of multilevel multiplexer circuits for incompletely specified multioutput Boolean functions with mapping to multiplexer based FPGA's. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
128Jörg Liebeherr, Dallas E. Wrege A Versatile Packet Multiplexer for Quality-of-Service Networks. Search on Bibsonomy HPDC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multiplexing equipment, versatile packet multiplexer, packet multiplexing technique, rotating-priority-queues, static-priority multiplexer, earliest-deadline-first multiplexer, delays, packet switching, multiplexing, delay bounds, low complexity, quality-of-service networks
105Lawrence Davis, Chunsheng Fu, Stewart W. Wilson An Incremental Multiplexer Problem and Its Uses in Classifier System Research. Search on Bibsonomy IWLCS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
83Hsu-Wei Huang, Cheng-Yeh Wang, Jing-Yang Jou An efficient heterogeneous tree multiplexer synthesis technique. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
83Kisun Kim, Taekyoon Ahn, Sang-Yeol Han, Chang-Seung Kim, Ki-Hyun Kim Low-power multiplexer decomposition by suppressing propagation of signal transitions. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
79Dimitris Bekiaris, Kiamal Z. Pekmestzi, Christos A. Papachristou A high-speed radix-4 multiplexer-based array multiplier. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF modified booth, multiplexer-based, radix-4 multiplier, array multiplier
72Xunqi Yu, James W. Modestino, Xusheng Tian The accuracy of Gilbert models in predicting packet-loss statistics for a single-multiplexer network model. Search on Bibsonomy INFOCOM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
72Deming Chen, Jason Cong Register binding and port assignment for multiplexer optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
66Hsu-Wei Huang, Cheng-Yeh Wang, Jing-Yang Jou Optimal design of high fan-in multiplexers via mixed-integer nonlinear programming. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
65Michiel de Bakker, Piet W. Verbeek, Gijs K. Steenvoorden Design Considerations for a Range Image Sensor Containing a PSD-array and An On-chip Multiplexer. Search on Bibsonomy 3DIM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF range image sensor, PSD-array, on-chip multiplexer, PSD-chip, light range imaging, analog preamplifiers, analog current multiplexer, image sensors, image sensor, low-pass filtering
65Hoon Lee A Gracious Cell Discard Scheme in ATM Multiplexer. Search on Bibsonomy LCN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF gracious cell discard control, ATM output multiplexer, gracious cell throttle, temporary queue, main queue, high priority cells, low priority cells, nonlinear filter function, cell rejection policy, partial rejection, prioritized selection, QoS measures, cell delay, quality of service, asynchronous transfer mode, congestion control, overflow, ATM multiplexer, cell loss, finite capacity queue
63Xiaokang Yang, Nam Ling Statistical Multiplexing based on MPEG-4 Fine Granularity Scalability Coding. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF broadcasting, MPEG-4, statistical multiplexer, fine granularity scalability
61Ulrich Mayer, Jürgen Deicke, Manfred Glesner Estimation of Multiplexer-Introduced Loss for MPEG-4 Data Streams Connected to (R)CBR Channels. Search on Bibsonomy ISCC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF DMIF, QoS, statistical modeling, MPEG-4, multiplex
57Gustavo Girão, Sílvio R. F. de Araújo, Monica Magalhães Pereira, Ivan Saraiva Silva Implementation of a HDTV transport stream multiplexer based on ITU-T H.222.0 recommendation. Search on Bibsonomy WebMedia The full citation details ... 2006 DBLP  DOI  BibTeX  RDF TS multiplexer, transport layer, FPGA implementation, digital television, HDTV
57A. Pal, R. K. Gorai, V. V. S. S. Raju Synthesis of multiplexer network using ratio parameters and mapping onto FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multiplexing equipment, multiplexer network, ratio parameters, Actel ACT1, MCNC benchmark problems, field programmable gate arrays, FPGAs, VLSI, Boolean functions, Boolean functions, logic design, iterative methods, combinational circuits, combinational circuits, logic CAD, multiplexing, search space, tree network, iterative approach
55Cheng-Shang Chang, Duan-Shin Lee, Chao-Kai Tu Recursive construction of FIFO optical multiplexers with switched delay lines. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
54Theodore F. Tabloski, Frederic J. Mowle A Numerical Expansion Technique and Its Application to Minimal Multiplexer Logic Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1976 DBLP  DOI  BibTeX  RDF Implicitly exhaustive search, logic design automation, minimization algorithm, modular logic arrays, multiplexer universal logic module (MULM), Shannon's expansion, universal logic module (ULM), multiplexer, numerical technique
52Guy Dupenloup, Thierry Lemeunier, Roland Mayr Transistor abstraction for the functional verification of FPGAs. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cone model, logic equivalence checking, transistor abstraction, FPGA, register transfer level, multiplexer, functional verification
50Xunqi Yu, James W. Modestino, Xusheng Tian The Accuracy of Markov Chain Models in Predicting Packet-Loss Statistics for a Single Multiplexer. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
50Keshab K. Parhi Design of multigigabit multiplexer-loop-based decision feedback equalizers. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
50Ulrich Mayer, Manfred Glesner Hardware Accelerated Estimation of Multiplexer-Introduced Loss for MPEG-4 Data Streams. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
50Seong-Ho Jeong, John A. Copeland Cell Loss Ratio and Multiplexing Gain of an ATM Multiplexer for VBR Voice Sources. Search on Bibsonomy LCN The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
46Jixiang Zhu, Yuanxiang Li 0001, Guoliang He, Xuewen Xia An Intrinsic Evolvable Hardware Based on Multiplexer Module Array. Search on Bibsonomy ICES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF intrinsic, FPGA, digital, multiplexer
44Taemin Kim, Xun Liu Compatibility path based binding algorithm for interconnect reduction in high level synthesis. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
41Xiaoliang Wang 0001, Xiaohong Jiang 0001, Susumu Horiguchi Improved Bounds on the Feedfoward Design of Optical Multiplexers. Search on Bibsonomy ISPAN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF optical buffered feedforward multiplexer
41Matthew Gershoff, Sonia Schulenburg Collective behavior based hierarchical XCS. Search on Bibsonomy GECCO (Companion) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF CB-HXCS, classifier, voting, XCS, multiplexer, information exchange, collective behavior
39George C. Lin, Tatsuya Suda, Fumio Ishizaki Loss Probability for a Finite Buffer Multiplexer with the M/G/infinity Input Process. Search on Bibsonomy Telecommun. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF fluid flow queue, queueing theory, self-similarity, multiplexing, long-range-dependence
39Akinori Shinmyo, Masanori Hashimoto, Hidetoshi Onodera Design and measurement of 6.4 Gbps 8: 1 multiplexer in 0.18µm CMOS process. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Annie A. M. Cuyt, R. B. Lenin, Gert Willems, Chris Blondia, Peter J. Rousseeuw Computing Packet Loss Probabilities in Multiplexer Models Using Rational Approximation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Newton-Padé approximation, Markovian arrival process, Statistical multiplexing, matrix-analytic methods
39Subhasish Mitra, LaNae J. Avra, Edward J. McCluskey Efficient Multiplexer Synthesis Techniques. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
39Christoph Scholl 0001, Bernd Becker 0001 On the Generation of Multiplexer Circuits for Pass Transistor Logic. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
39Alan Huang, D. McDonald Connection admission control for constant bit rate traffic at a multi-buffer multiplexer using the oldest-cell-first discipline. Search on Bibsonomy Queueing Syst. Theory Appl. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF earliest due date protocol, M/D/1 queue, ATM, loss probabilities
39Jacobo Riesco, Juan Carlos Diaz, Luis A. Merayo, José Luis Conesa, Carlos Santos, Eduardo Juárez Martínez On the way to the 2.5 Gbits/s ATM network ATM multiplexer demultiplexer ASIC. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
37Michele Flammini, Gianpiero Monaco, Luca Moscardelli, Mordechai Shalom, Shmuel Zaks Approximating the Traffic Grooming Problem with Respect to ADMs and OADMs. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Optical Add-Drop Multiplexer(OADM), Path and Ring Networks, Optical Networks, Traffic Grooming, Add-Drop Multiplexer(ADM), Wavelength Division Multiplexing(WDM)
33Asma Taheri Monfared, Valentina Ciriani, Lauri Kettunen, Majid Haghparast Novel qutrit circuit design for multiplexer, De-multiplexer, and decoder. Search on Bibsonomy Quantum Inf. Process. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
33Mohd Ziauddin Jahangir, J. Mounika Design and simulation of an innovative CMOS ternary 3 to 1 multiplexer and the design of ternary half adder using ternary 3 to 1 multiplexer. Search on Bibsonomy Microelectron. J. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
33Deepak Mittal, Amit Niranjan Designing of Multiplexer and De-Multiplexer Using Different Adiabatic Logic in 90nm Technology. Search on Bibsonomy ICCCNT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
33Debjyoti Bhattacharjee, Anne Siemon, Eike Linn, Stephan Menzel, Anupam Chattopadhyay Efficient implementation of multiplexer and priority multiplexer using 1S1R ReRAM crossbar arrays. Search on Bibsonomy MWSCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
33Tatsurou Hiraki, Tai Tsuchizawa, Hidetaka Nishi, Tsuyoshi Yamamoto, Koji Yamada Monolithically integrated mode multiplexer/de-multiplexer on three-dimensional SiOx-waveguide platform. Search on Bibsonomy OFC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
33Lenin Gopal, Nikhil Raj, Nyap Tet Clement Tham, Alpha Agape Gopalai, Ashutosh Kumar Singh 0001 Design of reversible multiplexer/de-multiplexer. Search on Bibsonomy ICCSCE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
33Lenin Gopal, Nikhil Raj, Alpha Agape Gopalai, Ashutosh Kumar Singh 0001 Design of reversible multiplexer/de-multiplexer. Search on Bibsonomy ICCSCE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
33Jay Cheng Constructions of Fault-Tolerant Optical 2-to-1 FIFO Multiplexers. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
33X. Song, Mustafa K. Mehmet Ali A Performance Analysis of Tandem Networks with Markovian Sources. Search on Bibsonomy ITC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Tandem networks, Markovian sources, PGF, multiplexers, variance, mean, queue length, packet delay
33Chih-Chieh Chou, Cheng-Shang Chang, Duan-Shin Lee, Jay Cheng A Necessary and Sufficient Condition for the Construction of 2-to-1 Optical FIFO Multiplexers by a Single Crossbar Switch and Fiber Delay Lines. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
33Jong-Ru Guo, Chao You, Michael Chu, Okan Erdogan, Russell P. Kraft, John F. McDonald 0001 A High Speed Reconfigurable Gate Array for Gigahertz Applications. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
33Chul Geun Park, Dong Hwan Han Delay and Departure Analysis of CBR Traffic in AAL MUX with Bursty Background Traffic. Search on Bibsonomy ICN (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Keshab K. Parhi Low-energy CSMT carry generators and binary adders. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
33Daniel Mange, Eduardo Sanchez, André Stauffer, Gianluca Tempesti, Pierre Marchal, Christian Piguet Embryonics: a new methodology for designing field-programmable gate arrays with self-repair and self-replicating properties. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
33Izhak Rubin, Kirk K. Chang Video Quality Control Under Cell-Discarding Algorithms in an ATM Network Supporting Layer-Encoded Video Streams. Search on Bibsonomy ICCCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF ATM Congestion Control, Layered-Encoding Algorithms, Video Quality Control, ATM Cell-Discarding Algorithms, ATM Networks
30Emilia Sipos, Lelia Festila, Gabriel Oltean Towards Reconfigurable Circuits Based on Ternary Controlled Analog Multiplexers/Demultiplexers. Search on Bibsonomy KES (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Analog multiplexer, reconfigurable circuit, transmission gate, CMOS transistors, SUS-LOC
30Michele Flammini, Mordechai Shalom, Shmuel Zaks On Minimizing the Number of ADMs - Tight Bounds for an Algorithm Without Preprocessing. Search on Bibsonomy CAAN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Optical Networks, Wavelength Assignment, Add-Drop Multiplexer(ADM), Wavelength Division Multiplexing(WDM)
30Michele Flammini, Mordechai Shalom, Shmuel Zaks On Minimizing the Number of ADMs in a General Topology Optical Network. Search on Bibsonomy DISC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Optical Networks, Wavelength Assignment, Add-Drop Multiplexer(ADM), Wavelength Division Multiplexing(WDM)
30Michele Flammini, Gianpiero Monaco, Luca Moscardelli, Mordechai Shalom, Shmuel Zaks Approximating the Traffic Grooming Problem in Tree and Star Networks. Search on Bibsonomy WG The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Optical Networks, Tree Networks, Traffic Grooming, Add-Drop Multiplexer(ADM), Wavelength Division Multiplexing(WDM)
30Michele Flammini, Luca Moscardelli, Mordechai Shalom, Shmuel Zaks Approximating the Traffic Grooming Problem. Search on Bibsonomy ISAAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Optical Networks, Wavelength Assignment, Traffic Grooming, Add-Drop Multiplexer(ADM), Wavelength Division Multiplexing(WDM)
30Mordechai Shalom, Shmuel Zaks Minimizing the Number of ADMs in SONET Rings with Maximum Throughput. Search on Bibsonomy SIROCCO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Optical Networks, Wavelength Assignment, Add-Drop Multiplexer(ADM), SONET, Wavelength Division Multiplexing(WDM)
30Witold Pedrycz, George Vukovich An fMUX architecture: data modularization and mixed-mode system modeling. Search on Bibsonomy Soft Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Fuzzy multiplexer, Mixed-mode modeling, OR and AND neurons, Learning, Multivalued logic, Digital systems, Logic modeling
30Xiang-Yang Li 0001, Liwu Liu, Peng-Jun Wan, Ophir Frieder Practical Traffic Grooming Scheme for Single-Hub SONET/WDM Rings. Search on Bibsonomy LCN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF multiplexing equipment, demultiplexing equipment, practical traffic grooming, single-hub SONET/WDM rings, SONET/WDM networks, low rate tributary streams, SONET add/drop multiplexer, electronic multiplexing, electronic demultiplexing, tributary traffic patterns, minimal resource cost, BLSR/2, UPSR, optimal grooming, line speeds, computational complexity, approximation algorithms, network topology, NP-complete problem, wavelength division multiplexing, telecommunication traffic, approximation theory, ADM, SONET, optical fibre networks, nonuniform traffic
30Kamal S. Khouri, Ganesh Lakshminarayana, Niraj K. Jha IMPACT: A High-Level Synthesis System for Low Power Control-Flow Intensive Circuits. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF multiplexer re-structuring, low power, high-level synthesis, resource sharing, control-flow, module selection
30Dusan Suvakovic, C. André T. Salama Guidelines for Use of Registers and Multiplexers in Low Power Low Voltage DSP Systems. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low power, DSP, energy consumption, switching, multiplexer, low voltage, register, datapath
30S. Miroslav Klivansky Traffic Modeling Methodology for Performance Analysis of a Class of Wide-Area Network Problems. Search on Bibsonomy MASCOTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF positive autocorrelations, input traffic, queue length statistics, slowly decaying autocorrelation structure, network performance analysis, marginal distribution, packet arrival process, connection oriented traffic, wide-area backbone network, trace sampling, TCP traffic, performance analysis, wide area networks, wide-area network, traffic modeling, multiplexer, network environments, temporal dependencies
30Ray-I Chang, Meng Chang Chen, Jan-Ming Ho, Ming-Tat Ko Designing the ON-OFF CBR transmission schedule for jitter-free VBR media playback in real-time networks. Search on Bibsonomy RTCSA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF ON-OFF CBR transmission schedule, jitter-free VBR media playback, jitter-free media playback, VBR transmission schedule, bit-rate variabilities, minimum system resources, multiplexer queue length distribution function, complexity, call admission control, real-time communications, telecommunication congestion control, real-time networks
30Hon-Wai Chu, Danny H. K. Tsang Dynamic bandwidth allocation for real-time VBR video traffic in ATM networks. Search on Bibsonomy ICCCN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF real-time VBR video traffic, measured QoS, virtual output buffer method, regression method, optimum static bandwidth allocation, traffic parameters, traffic sources, small measurement intervals, traffic variations, MPEG sequences, asynchronous transfer mode, ATM networks, video sequences, dynamic bandwidth allocation, B-ISDN, algorithm complexity, bandwidth estimation, ATM multiplexer
30M. Talla, Ahmed K. Elhakeem, Michel Kadoch QOS based performance of selective repeat transport user in hybrid ATM/TDMA networks. Search on Bibsonomy ICCCN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF QOS based performance, selective repeat transport user, hybrid ATM/TDMA networks, throughput characteristics, delay characteristics, cell-level global congestion control, ATM multiplexer node, packet level control, ATM cell level, Norton equivalent queueing model, transport service characteristic, protocol efficiency, virtual leaky bucket, modified leaky bucket, flow control parameters modulation, quality of service, asynchronous transfer mode, asynchronous transfer mode, video, time division multiple access, data, voice, virtual circuit, queue management, leaky bucket, window size, packet size, cell loss probability
30Janusz Rzeszut, Bozena Kaminska, Yvon Savaria A new method for testing mixed analog and digital circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF charge-coupled device circuits, mixed analog and digital circuits, analog test points, simultaneous observation, analog multiplexer, signal path, analog shift register, input voltage, integrated circuit testing, shift registers, mixed analogue-digital integrated circuits, charge coupled device, analogue processing circuits
30Jay J. Lee, Kwi-Yung Jung An algorithm for determining the feasibility of SONET/ATM rings in broadband networks. Search on Bibsonomy ICCCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF SONET/ATM rings, synchronous optical network, self-healing ring architecture, economical broadband networks, survivable broadband networks, nonhierarchical path multiplexing, diverse protection architecture, ATM/add-drop multiplexer, VP-based ATM rings, SONET ADM, ATM STS-3c line cards, STS-3 termination cards, reference network databases, demand routing, algorithm, asynchronous transfer mode, simulation results, traffic, network connectivity, broadband networks, network size, virtual path, SONET, cost savings
30Hon-Wai Chu, Danny H. K. Tsang, Tao Yang Bandwidth allocation for VBR video traffic in ATM networks. Search on Bibsonomy ICCCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF VBR video traffic, compressed VBR video sources, peak rate, bottom rate, mean rate, autocorrelation function coefficient, discrete-time Markov modulated deterministic process, effective bandwidth approach, Gaussian approximation, two-active-state mini-sources, asynchronous transfer mode, ATM networks, bandwidth allocation, standard deviation, ATM multiplexer, aggregate traffic
30Samy Makar, Edward J. McCluskey Checking experiments to test latches. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF exhaustive functional tests, 2-state latches, minimum-length checking, D-latch, HSpice implementation, transmission gate latch, detectable shorted interconnects, open interconnects, short-to-power faults, short-to-ground faults, pin fault test set, multiplexer-based test set, sequential elements, 2-state state machines, simulation, fault diagnosis, logic testing, finite state machines, integrated circuit testing, sequential circuits, CMOS, circuit analysis computing, CMOS logic circuits, SPICE, stuck open faults, checking experiments, stuck-on faults
28Jean-Michel Fourneau, Nora Izri, Dominique Verchère Analysis and Optimization of Aggregation in a Reconfigurable Optical ADD/DROP Multiplexer. Search on Bibsonomy NEW2AN The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Mozammel H. A. Khan Reversible Realization of Quaternary Decoder, Multiplexer, and Demultiplexer Circuits. Search on Bibsonomy ISMVL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Sairam Subramanian, Ihor Lemischka, Ron Weiss Engineering a 1: 2 Bio-multiplexer for controlled stem cell differentiation. Search on Bibsonomy CSB Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Chip-Hong Chang, Ravi Kumar Satzoda, Swaminathan Sekar A novel multiplexer based truncated array multiplier. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Paul Metzgen, Dominic Nancekievill Multiplexer restructuring for FPGA implementation cost reduction. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF busses, recoding, FPGA, synthesis, multiplexers, restructuring, logic optimization
28Oliver A. Pfänder, Roland Hacker, Hans-Jörg Pfleiderer A Multiplexer-Based Concept for Reconfigurable Multiplier Arrays. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28José Franco Machado do Amaral, Jorge Luís Machado do Amaral, Cristina Costa Santini, Marco Aurélio Cavalcanti Pacheco, Ricardo Tanscheit, Moisés H. Szwarcman Intrinsic Evolution of Analog Circuits on a Programmable Analog Multiplexer Array. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Selam T. Ahderom, Mehrdad Raisi, Kamal E. Alameh, Kamran Eshraghian Reconfigurable MicroPhotonic Add/Drop Multiplexer Architecture. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Costas Courcoubetis, Antonis Dimakis, George D. Stamoulis Traffic equivalence and subistution in a multiplexer with applications to dynamic available capacity estimation. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF available capacity, many-sources asymptotic, on-line estimation, call admission control, traffic modeling, effective bandwidth
28Cristina Costa Santini, Marco Aurélio Cavalcanti Pacheco, Marley M. B. R. Vellasco, Moisés H. Szwarcman, Ricardo Salem Zebulum Pama - Programmable Analog Multiplexer Array. Search on Bibsonomy Evolvable Hardware The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
28Saud Andrew Al-Barrak, Adnan Andrew Nouh, Saad Haj Bakry Computer simulation for the evaluation of static and dynamic priority schemes in an ATM multiplexer with multimedia traffic. Search on Bibsonomy Int. J. Netw. Manag. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
28Robert A. Lackman, David Tipper, John D. Spragins Sensitivity Analysis on the Assumption of Geometric Batch Arrivals for Real-Time Versus Non-Real-Time Scheduling in a Statistical Multiplexer. Search on Bibsonomy RTCSA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
28Haridimos T. Vergos, Dimitris Nikolos, Y. Tsiatouhas, Th. Haniotakis, Michael Nicolaidis On Path Delay Fault Testing of Multiplexer - Based Shifters. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Gayatri Mehta, Justin Stander, Mustafa Baz, Brady Hunsaker, Alex K. Jones Interconnect customization for a hardware fabric. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF hardware fabric, architecture, computer-aided design, Reconfigurable, low-energy, demonstrable
22Mohammed A. S. Abdallah, Omar S. Elkeelany, Ali T. Alouani Simultaneous multi-channel data acquisition with variable sampling frequencies using a scalable adaptive synchronous controller. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF adc, sd card, fpga, real-time, multiplexing, data acquisition, fft
22Mike Sablatash Designs and architectures of filter bank trees for spectrally efficient multi-user communications: review, modifications and extensions of wavelet packet filter bank trees. Search on Bibsonomy Signal Image Video Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Filter bank trees, Multi-user OFDM-type communication systems
22Yu Hu 0001, Xiang Fu 0007, Xiaoxin Fan, Hideo Fujiwara Localized random access scan: Towards low area and routing overhead. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Steven Huntzicker, Michael Dayringer, Justin Soprano, Anthony Weerasinghe, David Money Harris, Dinesh Patil Energy-delay tradeoffs in 32-bit static shifter designs. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Ricardo Cunha, Henri Boudinov, Luigi Carro Quaternary Look-Up Tables Using Voltage-Mode CMOS Logic Design. Search on Bibsonomy ISMVL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Licheng Jiao, Jing Liu 0006, Weicai Zhong An organizational coevolutionary algorithm for classification. Search on Bibsonomy IEEE Trans. Evol. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Cheng-Shang Chang, Duan-Shin Lee, Chao-Kai Tu Using switched delay lines for exact emulation of FIFO multiplexers with variable length bursts. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Ramachandruni Venkata Kamala, M. Sudhakar, M. B. Srinivas An Efficient Reconfigurable Montgomery Multiplier Architecture for GF(n). Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Juan Echagüe, Vicent Cholvi Worst case burstiness increase due to arbitrary aggregate multiplexing. Search on Bibsonomy VALUETOOLS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF aggregate scheduling, differentiated services, network calculus
22James A. R. Marshall, Tim Kovacs A representational ecology for learning classifier systems. Search on Bibsonomy GECCO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF GBML, hyperspheres, representation, learning classifier systems, XCS, bias, hyperplanes, No Free Lunch
22Chiou-Yng Lee, Yu-Hsin Chiu, Che Wun Chiou New Bit-Parallel Systolic Multiplier over GF(2m) Using The Modified Booth's Algorithm. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Xunqi Yu, James W. Modestino, Ivan V. Bajic Performance analysis of the efficacy of packet-level FEC in improving video transport over networks. Search on Bibsonomy ICIP (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Colin Campbell, Margus Veanes, Jiale Huo, Alexandre Petrenko Multiplexing of Partially Ordered Events. Search on Bibsonomy TestCom The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Ján Antolík, William H. Hsu Evolutionary tree genetic programming. Search on Bibsonomy GECCO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF genetic programming
22Raymond Yim, Michael Rosenblum, Vahid Tarokh Delay bounds for packetizing time-varying fluid policies with speedup and lookahead in single server systems. Search on Bibsonomy INFOCOM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Erik Chmelar Minimizing the number of test configurations for FPGAs. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Sami Ayyorgun, Rene L. Cruz A Service-Curve Model with Loss and a Multiplexing Problem. Search on Bibsonomy ICDCS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Maitrali Marik, Ajit Pal Energy-aware Logic Synthesis and Technology Mapping for MUX-based FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Hiroe Iwasaki, Jiro Naganuma, Koyo Nitta, Ken Nakamura, Takeshi Yoshitome, Mitsuo Ogura, Yasuyuki Nakajima, Yutaka Tashiro, Takayuki Onishi, Mitsuo Ikeda, Makoto Endo Single-Chip MPEG-2 422P@HL CODEC LSI with Multi-Chip Configuration for Large Scale Processing beyond HDTV Level. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 779 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license