The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multiprocessor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1961-1971 (15) 1972-1973 (17) 1974-1975 (20) 1976-1977 (40) 1978 (20) 1979 (20) 1980 (29) 1981 (39) 1982 (59) 1983 (53) 1984 (53) 1985 (81) 1986 (98) 1987 (129) 1988 (211) 1989 (197) 1990 (266) 1991 (211) 1992 (242) 1993 (212) 1994 (254) 1995 (246) 1996 (215) 1997 (224) 1998 (141) 1999 (210) 2000 (231) 2001 (202) 2002 (185) 2003 (253) 2004 (245) 2005 (339) 2006 (398) 2007 (417) 2008 (394) 2009 (311) 2010 (210) 2011 (188) 2012 (125) 2013 (132) 2014 (136) 2015 (101) 2016 (101) 2017 (84) 2018 (62) 2019 (71) 2020 (68) 2021 (55) 2022 (59) 2023 (37) 2024 (7)
Publication types (Num. hits)
article(2710) book(12) incollection(58) inproceedings(4758) phdthesis(175)
Venues (Conferences, Journals, ...)
IEEE Trans. Parallel Distribut...(321) IEEE Trans. Computers(317) IPDPS(136) ISCA(135) ICPP(99) DATE(96) CoRR(81) DAC(81) IPPS(78) ECRTS(75) ICS(73) Parallel Comput.(72) RTSS(71) SIGMETRICS(68) Euro-Par(67) RTCSA(63) More (+10 of total 1221)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 8783 occurrences of 2559 keywords

Results
Found 7713 publication records. Showing 7713 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
65Kenichiro Noguchi, Isao Ohnishi, Hiroshi Morita Design considerations for a heterogeneous tightly-coupled multiprocessor system. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1975 DBLP  DOI  BibTeX  RDF
60Jingzhao Ou, Viktor K. Prasanna Design space exploration using arithmetic-level hardware--software cosimulation for configurable multiprocessor platforms. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, design space exploration, processor, cosimulation
50Rob Aitken, Krisztián Flautner, John Goodacre High-Performance Multiprocessor System on Chip: Trends in Chip Architecture for the Mass Market. Search on Bibsonomy Multiprocessor System-on-Chip The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
50Diana Göhringer, Michael Hübner 0001, Jürgen Becker 0001 Adaptive Multiprocessor System-on-Chip Architecture: New Degrees of Freedom in System Design and Runtime Support. Search on Bibsonomy Multiprocessor System-on-Chip The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
49Abhishek Chandra, Prashant J. Shenoy Hierarchical Scheduling for Symmetric Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Scheduling, Multiprocessor Systems, Hierarchical design
49Xinyu Li, Omar Hammami Small scale multiprocessor soft IP (SSM IP): single FPGA chip area and performance evaluation. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, multiprocessor, network on chip
49Tei-Wei Kuo, Jun Wu 0010, Hsin-Chia Hsih Real-Time Concurrency Control in a Multiprocessor Environment. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Real-time concurrency control, two-version database, multiprocessor architecture, priority inversion
49Xiaodong Zhang 0001 Performance Measurement and Modeling to Evaluate Various Effects on a Shared Memory Multiprocessor. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF sequential code, virtual memory paging, modified Ware model, Encore Multimax, performance evaluation, architecture, performance modelling, performance measurement, multiprocessing systems, shared memory multiprocessor, cache coherence, multiprogramming, barriers, resource scheduling, timing models
48José María López, José Luis Díaz, Daniel F. García Utilization Bounds for EDF Scheduling on Real-Time Multiprocessor Systems. Search on Bibsonomy Real Time Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multiprocessor utilization bounds, partitioning, multiprocessor scheduling, earliest deadline first scheduling, bin-packing problem
45José María López, José Luis Díaz, Daniel F. García Minimum and Maximum Utilization Bounds for Multiprocessor Rate Monotonic Scheduling. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
44Chiara Francalanci, Paolo Giacomazzi High-Performance Self-Routing Algorithm for Multiprocessor Systems with Shuffle Interconnections. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF shuffle interconnection, Multiprocessor systems, self routing
44Makoto Sugihara SEU Vulnerability of Multiprocessor Systems and Task Scheduling for Heterogeneous Multiprocessor Systems. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Heterogeneous Multiprocessor Systems, Reliability, Task Scheduling, Soft Error, Single Event Upset
43Shinya Honda, Hiroyuki Tomiyama, Hiroaki Takada RTOS and Codesign Toolkit for Multiprocessor Systems-on-Chip. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF codesign toolkit, embedded multiprocessor systems, system-level design toolkit, real-time operating systems, RTOS, multiprocessor systems-on-chip
43G. N. Srinivasa Prasanna, Bruce R. Musicus Generalized Multiprocessor Scheduling and Applications to Matrix Computations. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multiprocessor compilation, parallel processing, task scheduling, multiprocessor scheduling, distributed-memory multiprocessors, DAG scheduling, communication locality
42Qiang Li, David B. Gustavson Fat-tree for local area multiprocessors. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF local area multiprocessors, LAMP, high-performance low-cost parallel computing, LAN-size area, remote data cache, high performance multiprocessor, point-to-point physical connections, high system throughput, fat-tree topology, cable length, link clock speeds, biCMOS chips, performance evaluation, parallel architectures, parallel architecture, multiprocessor interconnection networks, local area networks, latency, packet switching, packet switch, CMOS, shared memory systems, distributed memory systems, simulation results, cache storage, system buses, SCI, buffer requirements, distributed-shared-memory multiprocessor, scalable coherent interface
41Lennart Lindh, Johan Stärner, John Furunäs From single to multiprocessor real-time kernels in hardware. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multiprocessor real-time kernels, single processor real-time kernels, improved performance, improved determinism, integrated deterministic CPU, deterministic multitasking real time kernel, high performance multitasking real time kernel, high performance standalone multitasking real time kernel, deterministic standalone multitasking real time kernel, heterogeneous multiprocessor real-time systems, homogeneous multiprocessor real-time systems, scheduling, performance evaluation, real-time systems, multiprocessing systems, hardware, reconfigurable architectures, processor scheduling, multiprogramming, operating system kernels, firmware
41Byoung-Joon Min, Sang-Seok Shin, Kee-Wook Rim Design and analysis of a multiprocessor system with extended fault tolerance. Search on Bibsonomy FTDCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF extended fault tolerance, scalable multiprocessor system, tree-type interconnection networks, computational complexity, reliability, fault tolerant computing, multiprocessor interconnection networks, latency, multiprocessing systems, multiprocessor system, performance penalty, implementation complexity
40Hristo Nikolov, Todor P. Stefanov, Ed F. Deprettere Systematic and Automated Multiprocessor System Design, Programming, and Implementation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Ankit Mathur, Mayank Agarwal, Soumyadeb Mitra, Anup Gangwar, M. Balakrishnan, Subhashis Banerjee SMPS: an FPGA-based prototyping environment for multiprocessor embedded systems (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
40Fei Sun, Srivaths Ravi 0001, Anand Raghunathan, Niraj K. Jha Synthesis of Application-Specific Heterogeneous Multiprocessor Architectures Using Extensible Processors. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
40Jack B. Dennis Fresh Breeze: a multiprocessor chip architecture guided by modular programming principles. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
40Michael J. Anderson, Bryan Catanzaro, Jike Chong, Ekaterina Gonina, Kurt Keutzer, Chao-Yue Lai, Mark Murphy, Bor-Yiing Su, Narayanan Sundaram PALLAS: Mapping Applications onto Manycore. Search on Bibsonomy Multiprocessor System-on-Chip The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Ricardo Reis 0001 Design Tools and Methods for Chip Physical Design. Search on Bibsonomy Multiprocessor System-on-Chip The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Lionel Torres, Pascal Benoit, Gilles Sassatelli, Michel Robert, Fabien Clermidy, Diego Puschini An Introduction to Multi-Core System on Chip - Trends and Challenges. Search on Bibsonomy Multiprocessor System-on-Chip The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Jürgen Teich, Jörg Henkel, Andreas Herkersdorf, Doris Schmitt-Landsiedel, Wolfgang Schröder-Preikschat, Gregor Snelting Invasive Computing: An Overview. Search on Bibsonomy Multiprocessor System-on-Chip The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Benny Akesson, Anca Mariana Molnos, Andreas Hansson 0001, Jude Angelo Ambrose, Kees Goossens Composability and Predictability for Independent Application Development, Verification, and Execution. Search on Bibsonomy Multiprocessor System-on-Chip The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Dac Pham, Jim Holt, Sanjay Deshpande Embedded Multicore Systems: Design Challenges and Opportunities. Search on Bibsonomy Multiprocessor System-on-Chip The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Miltos D. Grammatikakis, George Kornaros, Marcello Coppola Power-Aware Multicore SoC and NoC Design. Search on Bibsonomy Multiprocessor System-on-Chip The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Rakesh Kumar 0002, Timothy G. Mattson, Gilles Pokam, Rob F. Van der Wijngaart The Case for Message Passing on Many-Core Chips. Search on Bibsonomy Multiprocessor System-on-Chip The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Andreas Herkersdorf, Andreas Lankes, Michael Meitinger, Rainer Ohlendorf, Stefan Wallentowitz, Thomas Wild, Johannes Zeppenfeld Hardware Support for Efficient Resource Utilization in Manycore Processor Systems. Search on Bibsonomy Multiprocessor System-on-Chip The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Cristina Silvano, William Fornaciari, Gianluca Palermo, Vittorio Zaccaria, Fabrizio Castro, Marcos Martínez, Sara Bocchio, Roberto Zafalon, Prabhat Avasare, Geert Vanmeerbeeck, Chantal Ykman-Couvreur, Maryse Wouters, Carlos Kavka, Luka Onesti, Alessandro Turco, Umberto Bondi, Giovanni Mariani, Hector Posadas, Eugenio Villar, Chris Wu, Fan Dongrui, Hao Zhang 0009 The MULTICUBE Design Flow. Search on Bibsonomy Multi-objective Design Space Exploration of Multiprocessor SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Gianluca Palermo, Cristina Silvano, Vittorio Zaccaria, Enrico Rigoni, Carlos Kavka, Alessandro Turco, Giovanni Mariani Response Surface Modeling for Design Space Exploration of Embedded System. Search on Bibsonomy Multi-objective Design Space Exploration of Multiprocessor SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Patrick Bellasi, Simone Corbetta, William Fornaciari Run-Time Resource Management at the Operating System level. Search on Bibsonomy Multi-objective Design Space Exploration of Multiprocessor SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Hector Posadas, Sara Real, Eugenio Villar M3-SCoPE: Performance Modeling of Multi-Processor Embedded Systems for Fast Design Space Exploration. Search on Bibsonomy Multi-objective Design Space Exploration of Multiprocessor SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Cristina Silvano Conclusions. Search on Bibsonomy Multi-objective Design Space Exploration of Multiprocessor SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Prabhat Avasare, Chantal Ykman-Couvreur, Geert Vanmeerbeeck, Giovanni Mariani, Gianluca Palermo, Cristina Silvano, Vittorio Zaccaria Design Space Exploration Supporting Run-Time Resource Management. Search on Bibsonomy Multi-objective Design Space Exploration of Multiprocessor SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Carlos Kavka, Luka Onesti, Enrico Rigoni, Alessandro Turco, Sara Bocchio, Fabrizio Castro, Gianluca Palermo, Cristina Silvano, Vittorio Zaccaria, Giovanni Mariani, Dongrui Fan, Hao Zhang 0009, Shibin Tang Design Space Exploration of Parallel Architectures. Search on Bibsonomy Multi-objective Design Space Exploration of Multiprocessor SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Marcos Martínez, David Ferruz, Hector Posadas, Eugenio Villar High-level modeling and exploration of a powerline communication network based on System-on-Chip. Search on Bibsonomy Multi-objective Design Space Exploration of Multiprocessor SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Giovanni Mariani, Chantal Ykman-Couvreur, Prabhat Avasare, Geert Vanmeerbeeck, Gianluca Palermo, Cristina Silvano, Vittorio Zaccaria Design Space Exploration for Run-Time Management of a Reconfigurable System for Video Streaming. Search on Bibsonomy Multi-objective Design Space Exploration of Multiprocessor SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Enrico Rigoni, Carlos Kavka, Alessandro Turco, Gianluca Palermo, Cristina Silvano, Vittorio Zaccaria, Giovanni Mariani Optimization Algorithms for Design Space Exploration of Embedded Systems. Search on Bibsonomy Multi-objective Design Space Exploration of Multiprocessor SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
39Jason Cong, Guoling Han, Wei Jiang Synthesis of an application-specific soft multiprocessor system. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF clustering, multiprocessor, pipeline, labeling, design space
39Maode Ma, Babak Hamidzadeh A Fault-tolerant Strategy for Real-time Task Scheduling on Multiprocessor System. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Multiprocessor computer, Chunk Self-Scheduling, Simulation, Fault tolerance, Real-time system
39Xiaodong Zhang 0001, Xiaohan Qin Performance Prediction and Evaluation of Parallel Processing on a NUMA Multiprocessor. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF nonuniform memory access, parallel processing performance, BBN GP1000, NUMA shared-memory multiprocessor, scheduling, performance evaluation, parallel processing, programming environment, multiprocessing systems, analytical models, interprocessor communication, process scheduling, network contention, process synchronization, memory contention, optimal strategies, remote memory access
39Norihisa Suzuki TOP-1 Multiprocessor Workstation. Search on Bibsonomy Workshop on Parallel Lisp The full citation details ... 1989 DBLP  DOI  BibTeX  RDF Snoop cache, Queuing model simulation, Cache coherency, Interprocessor communication, Shared-bus multiprocessor
38Chris J. Scheiman, Peter R. Cappello A Period-Processor-Time-Minimal Schedule for Cubical Mesh Algorithms. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF period-processor-time-minimal schedule, cubical mesh algorithms, precedence-constrained multiprocessor schedules, toroidally connected mesh, scheduling, parallel algorithms, computational complexity, multiprocessor interconnection networks, directed graphs, systolic arrays, systolic array, directed acyclic graph, matrix algebra, matrix product, computationalcomplexity
38Yun Jiang, Akifumi Makinouchi A parallel hash-based join algorithm for a networked cluster of multiprocessor nodes. Search on Bibsonomy COMPSAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF parallel hash-based join algorithm, multiprocessor nodes, distributed shared virtual space, message model, performance, design, parallel algorithms, parallel processing, shared-memory multiprocessor, distributed environments, high speed, low-cost, skew, ease of use, relational database systems, networked cluster
38Guan-Joe Lai, Cheng Chen A new scheduling strategy for NUMA multiprocessor systems. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF non-uniform memory access, Longest Extended Critical Path First, multiprocessor systems, shared-memory multiprocessor, shared memory systems, processor scheduling, NUMA, scheduling strategies
38Keying Wu, P. K. H. Ng, Xing Dong Jia, Richard M. M. Chen, A. M. Layfield Performance tuning of a multiprocessor sparse matrix equation solver. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multiprocessor sparse matrix equation solver, sparse matrix equation, linear simultaneous equations, electrical circuit, multiprocessor implementation, parallel direct method, parallel algorithms, circuit analysis computing, SPICE, SPICE, circuit simulation, sparse matrices, performance tuning
38Wonyong Sung, Sanjit K. Mitra, Branko Jeren Multiprocessor Implementation of Digital Filtering Algorithms Using a Parallel Block Processing Method. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF recursive filtering algorithms, digital filtering algorithms, parallel block processing, digital signal processingalgorithms, precedence graph, dependencyrelation, adaptive filtering algorithms, parallel algorithms, interconnection network, multiprocessor interconnection networks, multiprocessor system, data dependency, filtering theory, digital filters, ring network, prediction theory, FIR, computerised signal processing, scheduling method
38Jang-Ping Sheu, Tsu-Huei Thai Partitioning and Mapping Nested Loops on Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF constant loop-carried dependencies, message-passing multiprocessor systems, interblock communication, execution ordering, time function, hyperplanemethod, fixed-size multiprocessor system, heuristic mapping algorithm, hypercubemachines, parallel algorithms, parallel programming, parallel, partitioning, mapping, iterations, data exchange, blocks, multiprogramming, communication overhead, nested loops
35Nguyen Duc Thai Real-Time Scheduling in Distributed Systems. Search on Bibsonomy PARELEC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Benjamin C. Lee, Jamison D. Collins, Hong Wang 0003, David M. Brooks CPR: Composable performance regression for scalable multiprocessor models. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Yujia Jin, William Plishker, Kaushik Ravindran, Nadathur Satish, Kurt Keutzer Soft multiprocessor systems for network applications (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35James H. Anderson, Sanjoy K. Baruah Energy-Efficient Synthesis of Periodic Task Systems upon Identical Multiprocessor Platforms. Search on Bibsonomy ICDCS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Dilip Sarkar Cost and Time-Cost Effectiveness of Multiprocessing. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF time-cost effectiveness, performance evaluation, performance, parallel algorithms, parallel algorithms, efficiency, multiprocessing systems, multiprocessor systems, cost effectiveness, pipelined computers
34Eric S. Chung, Michael Papamichael, Eriko Nurvitadhi, James C. Hoe, Ken Mai, Babak Falsafi ProtoFlex: Towards Scalable, Full-System Multiprocessor Simulations Using FPGAs. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF simulator, FPGA, prototype, multiprocessor, multicore, emulator
34Evgeny V. Shchepin, Nodari Vakhania On the geometry, preemptions and complexity of multiprocessor and shop scheduling. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Shop scheduling, Algorithm, Time complexity, Multiprocessor scheduling, Preemption
34Muhammet Fikret Ercan A performance comparison of PSO and GA in scheduling hybrid flow-shops with multiprocessor tasks. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multiprocessor task scheduling, flow shops, hybrid particle swarm optimization
34Lars Lundberg, Håkan Lennerstad Guaranteeing Response Times for Aperiodic Tasks in Global Multiprocessor Scheduling. Search on Bibsonomy Real Time Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Aperiodic, Dhalls effect, Optimal threshold, Synthetic utilization, Scheduling, Multiprocessor
34Naser Sedaghati-Mokhtari, Mahdi Nazm Bojnordi, Sied Mehdi Fakhraie MDST: Multiprocessor DSP Simulation Toolkit for Voice Processing Applications. Search on Bibsonomy MASCOTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF MDST, Multiprocessor DSP Simulation toolkit, Voice processing applications
34Jian-Jia Chen, Chuan-Yue Yang, Tei-Wei Kuo, Shau-Yin Tseng Real-Time Task Replication for Fault Tolerance in Identical Multiprocessor Systems. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Fault Tolerance, Multiprocessor Systems, Real-Time Task Scheduling, Task Replication
34Guolong Lin, Rajmohan Rajaraman Approximation algorithms for multiprocessor scheduling under uncertainty. Search on Bibsonomy SPAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF approximation algorithms, multiprocessor scheduling
34Dakai Zhu 0001, Rami G. Melhem, Bruce R. Childers Scheduling with Dynamic Voltage/Speed Adjustment Using Slack Reclamation in Multiprocessor Real-Time Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF slack sharing, scheduling, Real-time systems, multiprocessor
34Aleksei V. Fishkin, Klaus Jansen, Lorant Porkolab On Minimizing Average Weighted Completion Time: A PTAS for Scheduling General Multiprocessor Tasks. Search on Bibsonomy FCT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF scheduling, Parallel processing, multiprocessor tasks
34Sivarama P. Dandamudi, Samir Ayachi Performance of Hierarchical Processor Scheduling in Shared-Memory Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF performance evaluation, multiprocessor systems, processor scheduling, time-sharing, Hierarchical scheduling, space-sharing
34Cosimo Antonio Prete, Gianpaolo Prina, Luigi M. Ricciardi A Trace-Driven Simulator for Performance Evaluation of Cache-Based Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multiple cache consistency, performance analysis, multiprocessor, Cache memory, trace-driven simulation, coherence protocol
34Edwin S. H. Hou, Nirwan Ansari, Hong Ren A Genetic Algorithm for Multiprocessor Scheduling. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF robust stochastic search algorithms, random task graphs, robot inverse dynamics computational task graph, genetic algorithms, genetic algorithm, simulation, scheduling, performance evaluation, optimization, computational complexity, optimisation, multiprocessing systems, NP-hard, heuristic search, multiprocessor scheduling, list scheduling
34Khaled M. F. Elsayed Parallel algorithms for the orthogonal multiprocessor. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 1992 DBLP  DOI  BibTeX  RDF Jacobi's method, Orthogonal multiprocessor, image component labeling, parallel algorithms
33David Parry 0001 Scalability in computing for today and tomorrow. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF synergistic combination, performance growth, current multiprocessor alternatives, scalable SMP, Silicon Graphics Origin multiprocessor, S/sup 2/MP memory architecture, core technologies, scalability, system architecture, shared-memory multiprocessors, shared memory systems
33Chris J. Scheiman, Peter R. Cappello A Processor-Time-Minimal Systolic Array for Transitive Closure. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF processor-time-minimal multiprocessor schedules, 2-D mesh, parallel algorithms, systolic array, systolic arrays, directed acyclic graph, multiprocessor schedule, transitive closure
33X. Zhao, Nigel J. Martin 0001, Roger G. Johnson PPS - A Parallel Partition Sort Algorithm for Multiprocessor Database Systems. Search on Bibsonomy DEXA Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF parallel partition sort algorithm, multiprocessor database systems, workload imbalance, partition imbalance, heterogeneous imbalance, shared-nothing multiprocessor database environment, range intervals, fast internal sorting method, dynamic mechanism, interval sizes, dynamic mathematical model approach, data distribution estimation, parallel algorithms, skew, PPS
33Björn Andersson, Jan Jonsson Fixed-priority preemptive multiprocessor scheduling: to partition or not to partition. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fixed-priority preemptive multiprocessor scheduling, multiprocessor real-time scheduling, task set partitioning, uniprocessor scheduling, periodically arriving tasks, preemption costs, migration costs, real-time systems, processor scheduling, software performance evaluation, algorithm performance
33John Heinlein, Kourosh Gharachorloo, Robert P. Bosch Jr., Mendel Rosenblum, Anoop Gupta Coherent Block Data Transfer in the FLASH Multiprocessor. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF FLASH multiprocessor, block data transfer, multiple communication protocols, embedded protocol processor, protocol, shared memory, prefetching, cache storage, FLASH, cache coherence protocol, multiprocessor architecture, MAGIC
33Gyung-Leen Park, Behrooz A. Shirazi, Jeff Marquis DFRN: A New Approach for Duplication Based Scheduling for Distributed Memory Multiprocessor Systems. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF DFRN, duplication based scheduling, distributed memory multiprocessor systems, parallel execution time minimization, DBS algorithms, task duplication method, worst case behavior, tree structured input directed acyclic graph, time complexity, distributed memory systems, performance improvement, optimal schedule, boundary condition, multiprocessor scheduling problems
33Anthony-Trung Nguyen, Maged M. Michael, Arun Sharma, Josep Torrellas The Augmint multiprocessor simulation toolkit for Intel x86 architectures. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Augmint multiprocessor simulation toolkit, Intel x86 architectures, publicly available simulation tools, instruction mix, memory reference patterns, CISC architectures, execution driven multiprocessor simulation toolkit, m4 macro extended C, C++ applications, SPLASH-2 benchmark suites, thread based programming model, shared global address space, private stack space, simulator interface, MINT simulation toolkit, x8d based uniprocessor systems, multiprocessing systems, trace driven simulation, architecture simulators, uniprocessors
33Tatsuhiro Tsuchiya, Yoshiaki Kakuda, Tohru Kikuno A new fault-tolerant scheduling technique for real-time multiprocessor systems. Search on Bibsonomy RTCSA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF real-time multiprocessor systems, task laxity, scheduling, fault-tolerant, fault-tolerance, real-time systems, fault tolerant computing, multiprocessing systems, multiprocessor systems, task scheduling, processor scheduling, aperiodic tasks
33Stephen Lucci, Izidor Gertner, Anil Gupta, Uday Hegde Reflective-memory multiprocessor. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF reflective-memory multiprocessor, hardware-supported data replication, multiple computers, memory semantics, reflective memory implementation, Encore Infinity, spinlocks, cache coherency problems, massive replication, recovery procedure, crashed nodes, reliability, fault tolerant computing, shared memory systems, distributed memory systems, system recovery, cache storage, cached architectures, distributed shared memory multiprocessor
33Stefan Ronngren, Behrooz A. Shirazi Static multiprocessor scheduling of periodic real-time tasks with precedence constraints and communication costs. Search on Bibsonomy HICSS (2) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF static multiprocessor scheduling, periodic real-time tasks, large task sets, exclusion relation, heuristic scheduling algorithms, clustering, real-time systems, resource allocation, NP-complete, multiprocessing systems, communication complexity, mutual exclusion, processor scheduling, timing constraints, task allocation, communication costs, precedence constraints, multiprocessor architecture, precedence relations, scheduling methods
33Sunggu Lee, Kang G. Shin On Probabilistic Diagnosis of Multiprocessor Systems Using Multiple Syndromes. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF probabilistic diagnosis, multiple syndromes, distributedself-diagnosis, comparison testing, diagnostic accuracy, sparseinterconnection networks, interprocessor tests, low fault coverage, system-leveldiagnosis, performance evaluation, fault tolerant computing, probability, multiprocessor, multiprocessing systems, multiprocessor systems, multicomputer, self-test, intermittent fault, diagnosis algorithms, fault-tolerantcomputing
33Chunming Qiao, Rami G. Melhem Reconfiguration with Time Division Multiplexed MIN's for Multiprocessor. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF MIN's, multiprocessor communications, TDM-MIN's, N time slots, n-dimensional hypercubes, Markov analysis, partition of connection requests, partitioning, mappings, reconfiguration, multiprocessor interconnection networks, embedding, meshes, NP-hard, multistage interconnection networks, optical interconnects, rings, binary trees, shift registers, time division multiplexing, time division multiplexed, round-robin, cube-connected-cycles
33Eva Ma, Dennis G. Shea E-Kernel: An Embedding Kernel on the IBM Victor V256 Multiprocessor for Program Mapping and Network Reconfiguration. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF E-kernel, embedding kernel, IBM victor V256 multiprocessor, program mapping, message-passing partitionable multiprocessor, new network topology, 2D mesh network, task graph topologies, communication network topology, real-time systems, parallel programming, graph theory, message passing, multiprocessing systems, parallel system, task graph, program diagnostics, communication model, asymptotically optimal, reconfigured network, network reconfiguration
33Rajiv Gupta 0001 Synchronization and Communication Costs of Loop Partitioning on Shared-Memory Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF static loop scheduling, staticloop decomposition, computer-assisted run-time scheduling, multidimensional loops, operation execution costs, synchronization costs, programexecution, synchronization instruction, Encore multiprocessor system, scheduling, parallel algorithms, parallel programming, program compilers, programming theory, communication costs, nested loops, self-scheduling, shared-memory multiprocessor systems, loop partitioning, program decomposition
33Ben A. Blake, Karsten Schwan Experimental Evaluation of a Real-Time Scheduler for a Multiprocessor System. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF processor deadlines, process execution times, earliest possible start times, scheduling, real-time systems, real-time scheduler, multiprocessor system, multiprocessor scheduler, multiprogramming, assignment, robotics applications
30Hristo Nikolov, Todor P. Stefanov, Ed F. Deprettere Multi-processor system design with ESPAM. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF system-level design, Kahn process networks, heterogeneous MPSoCs
30Shelby H. Funk, Sanjoy K. Baruah Characteristics of EDF Schedulability on Uniform Multiprocessors. Search on Bibsonomy ECRTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Manhee Lee, Minseon Ahn, Eun Jung Kim 0001 I2SEMS: Interconnects-Independent Security Enhanced Shared Memory Multiprocessor Systems. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Benfano Soewito, Ning Weng Methodology for Evaluating DNA Pattern Searching Algorithms on Multiprocessor. Search on Bibsonomy BIBE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Olivier Muller, Amer Baghdadi, Michel Jézéquel ASIP-based multiprocessor SoC design for simple and double binary turbo decoding. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Malcolm Mandviwalla, Nian-Feng Tzeng Energy-Efficient Scheme for Multiprocessor-Based Router Linecards. Search on Bibsonomy SAINT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF linecards, multiprocessors, Dynamic voltage scaling, energy consumption, routers
30Byung-Joo Hong, Koon-Shik Cho, Seung-Hyun Kang, Suk-Yoon Lee, Jun Dong Cho On the Configurable Multiprocessor SoC Platform with Crossbar Switch. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Lars Lundberg, Håkan Lennerstad Global Multiprocessor Scheduling of Aperiodic Tasks using Time-Independent Priorities. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Sanjoy K. Baruah, Joël Goossens Rate-monotonic scheduling on uniform multiprocessor. Search on Bibsonomy ICDCS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Uniform multiprocessors, periodic tasks, global scheduling, rate-monotonic algorithm, static priorities
30Naraig Manjikian Multiprocessor enhancements of the SimpleScalar tool set. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Tei-Wei Kuo, Hsin-Chia Hsih Concurrency control in a multiprocessor real-time database system. Search on Bibsonomy ECRTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Pao-Ann Hsiung, Sao-Jie Chen, Tsung-Chien Hu, Shih-Chiang Wang PSM: an object-oriented synthesis approach to multiprocessor system design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
30Masafumi Takahashi, Hiroyuki Takano, Emi Kaneko, Seigo Suzuki A Shared-Bus Control Mechanism and a Cache Coherence Protocol for a High-Performance On-Chip Multiprocessor. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
30Xinmin Tian, Shashank S. Nemawarkar, Guang R. Gao, Herbert H. J. Hum Data locality sensitivity of multithreaded computations on a distributed-memory multiprocessor. Search on Bibsonomy CASCON The full citation details ... 1996 DBLP  BibTeX  RDF
30Gerardus Johannes Wichardus van Dijk, A. J. van der Wal Partial Ordering of Synchronization Events for Distributed Debugging in Tightly-coupled Multiprocessor Systems. Search on Bibsonomy EDMCC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
29Amer Baghdadi Exploration et conception systématique d'architectures multiprocesseurs monopuces dédiées à des applications spécifiques = methods and tools for multiprocessor systems on chip, hardware/software co-designExploration and Systematic Design of Application-Specific Heterogeneous Multiprocessor SoC. (Exploration and Systematic Design of Application-Specific Heterogeneous Multiprocessor SoC). Search on Bibsonomy 2002   RDF
29Grant Martin Multi-Processor SoC-Based Design Methodologies Using Configurable and Extensible Processors. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF MPSoC, programming models, dataflow, instruction-set extension, multiprocessor system-on-chip, configurable processor, electronic system-level design
Displaying result #1 - #100 of 7713 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license