The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multiprocessors with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1966-1977 (16) 1978-1982 (24) 1983-1984 (32) 1985 (19) 1986 (35) 1987 (38) 1988 (71) 1989 (92) 1990 (117) 1991 (129) 1992 (132) 1993 (128) 1994 (151) 1995 (163) 1996 (138) 1997 (158) 1998 (101) 1999 (144) 2000 (120) 2001 (86) 2002 (85) 2003 (110) 2004 (116) 2005 (162) 2006 (163) 2007 (190) 2008 (185) 2009 (158) 2010 (139) 2011 (97) 2012 (59) 2013 (57) 2014 (72) 2015 (48) 2016 (39) 2017 (43) 2018 (29) 2019 (25) 2020 (22) 2021-2022 (21) 2023-2024 (9)
Publication types (Num. hits)
article(1129) book(3) incollection(15) inproceedings(2474) phdthesis(101) proceedings(1)
Venues (Conferences, Journals, ...)
IEEE Trans. Parallel Distribut...(162) IEEE Trans. Computers(132) ISCA(98) IPDPS(95) HPCA(73) ICPP(73) J. Parallel Distributed Comput...(70) SC(61) ICS(54) Euro-Par(52) IPPS(50) ICPP (1)(45) PACT(45) SIGMETRICS(43) DAC(40) Parallel Comput.(39) More (+10 of total 632)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4193 occurrences of 1488 keywords

Results
Found 3723 publication records. Showing 3723 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
59Christopher Connelly, Carla Schlatter Ellis A workload characterization for coarse-grain multiprocessors. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF coarse-grain multiprocessors, associated coherency maintenance, memory blocks, cache-coherent multiprocessors, page-based distributed shared memory systems, fine-grain systems, performance evaluation, performance, scalability, replication, multiprocessing systems, workload characterization, granularity, scalable shared memory multiprocessors
50Alberto Ros 0001, Manuel E. Acacio, José M. García 0001 An efficient cache design for scalable glueless shared-memory multiprocessors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF L2, directory structure, glueless shared-memory multiprocessors, cache, cache coherence, memory wall
50Ravi R. Iyer 0001, Laxmi N. Bhuyan Design and Evaluation of a Switch Cache Architecture for CC-NUMA Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF scalable interconnects, shared memory multiprocessors, wormhole routing, execution-driven simulation, Crossbar switches, cache architectures
50Vijay S. Pai, Parthasarathy Ranganathan, Hazim Abdel-Shafi, Sarita V. Adve The Impact of Exploiting Instruction-Level Parallelism on Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF performance evaluation, instruction-level parallelism, Shared-memory multiprocessors, software prefetching
50Gyungho Lee An assessment of COMA multiprocessors. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Cache Only Memory Architecture, Perfect Club Benchmark Suite, coherence policy, performance evaluation, performance, discrete event simulation, memory hierarchy, shared memory systems, distributed memory systems, update, trace driven simulations, cache storage, network traffic, miss ratio, distributed shared memory multiprocessors, shared address space, invalidate
47Anders Landin, Fredrik Dahlgren Bus-Based COMA - Reducing Traffic in Shared-Bus Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF bus-based COMA, standard UMA architecture, program-driven simulation, SPLASH, cache only memory architecture, shared-memory multiprocessors, shared memory systems, memory architecture, cache storage, shared-bus multiprocessors
47Yang Zeng, Santosh G. Abraham Partitioning regular grid applications with irregular boundaries for cache-coherent multiprocessors. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF partitioning regular grid applications, irregular boundaries, cache-coherent multiprocessors, regular grid, domain decomposition techniques, message passing multiprocessors, false coherency traffic, cache line, coalescing algorithm, domain decomposition algorithm, Indian Ocean circulation application, KSR1 multiprocessor, coherency traffic, message passing, multiprocessing systems, interprocessor communication
43Ozcan Ozturk 0001, Mahmut T. Kandemir, Mary Jane Irwin, Sri Hari Krishna Narayanan Compiler directed network-on-chip reliability enhancement for chip multiprocessors. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF reliability, compiler, noc, chip multiprocessors
43Nagesh B. Lakshminarayana, Jaekyu Lee, Hyesoon Kim Age based scheduling for asymmetric multiprocessors. Search on Bibsonomy SC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF age based scheduling, asymmetric multiprocessors, thread scheduling
43Chris R. Jesshope muTC - An Intermediate Language for Programming Chip Multiprocessors. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Self-adaptive computing, data-driven com-putation, programming chip multiprocessors, concurrent languages
43Evangelos P. Markatos, Thomas J. LeBlanc Using Processor Affinity in Loop Scheduling on Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF processoraffinity, kernel programs, Silicon Graphics multiprocessor, BBN Butterfly, SequentSymmetry, KSR-1, scheduling, performance evaluation, synchronization, shared-memory multiprocessors, shared memory systems, iterations, performance improvements, communication overhead, loop scheduling, loop iterations, load imbalance
43Eran Gabber VMMP: A Practical Tool for the Development of Portable and Efficient Programs for Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF VMMP, practical tool, portable and efficient programs, parallel application programs, message passing multiprocessors, VROMP, parallel algorithms, synchronization, virtual machine, software tools, shared memory, multiprocessing systems, coding, software portability, software package
41Rakesh Kumar 0002, Dean M. Tullsen, Norman P. Jouppi, Parthasarathy Ranganathan Heterogeneous Chip Multiprocessors. Search on Bibsonomy Computer The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Multicore microprocessors, Multiprocessors, Chip multiprocessors, CMP, Heterogeneity, System architectures, Power-aware computing
41Jonas Skeppstedt, Michel Dubois 0001 Hybrid compiler/hardware prefetching for multiprocessors using low-overhead cache miss traps. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF hybrid compiler/hardware prefetching, low-overhead cache miss traps, data prefetching technique, cache coherent multiprocessors, cache miss traps, trap handler, simulated multiprocessor, compiler, multiprocessors, multiprocessing systems
41Steven L. Scott, James R. Goodman Performance of Pruning-Cache Directories for Large-Scale Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF pruning-cache directories, multilevel inclusion, n-cube topology, bottleneck-free communication, multiprocessor interconnection networks, shared-memory multiprocessors, shared memory systems, storage management, memory architecture, buffer storage, large-scale multiprocessors
39Ravi R. Iyer 0001, Jack Perdue, Lawrence Rauchwerger, Nancy M. Amato, Laxmi N. Bhuyan An Experimental Evaluation of the HP V-Class and SGI Origin 2000 Multiprocessors using Microbenchmarks and Scientific Applications. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF performance analysis, Parallel architectures, shared memory
39James Laudon, Anoop Gupta, Mark Horowitz Interleaving: A Multithreading Technique Targeting Multiprocessors and Workstations. Search on Bibsonomy ASPLOS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
36Ozcan Ozturk 0001, Mahmut T. Kandemir, Mary Jane Irwin Increasing on-chip memory space utilization for embedded chip multiprocessors through data compression. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF data compression, chip multiprocessors, optimizing compiler
36Marco Galluzzi, Ramón Beivide, Valentin Puente, José-Ángel Gregorio, Adrián Cristal, Mateo Valero Evaluating kilo-instruction multiprocessors. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ROB, shared-memory multiprocessors, CC-NUMA, memory wall, instruction window, kilo-instruction processors
36Marco Galluzzi, Valentin Puente, Adrián Cristal, Ramón Beivide, José-Ángel Gregorio, Mateo Valero A first glance at Kilo-instruction based multiprocessors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ROB, in-flight instructions, shared-memory multiprocessors, CC-NUMA, memory wall, instruction window, Kilo-instruction processors
36Sanjoy K. Baruah, Shelby H. Funk, Joël Goossens Robustness Results Concerning EDF Scheduling upon Uniform Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Uniform multiprocessors, robustness, earliest deadline first, hard-real-time systems, resource augmentation
36Marcelo H. Cintra, Josep Torrellas Speculative Multithreading Eliminating Squashes through Learning Cross-Thread Violations in Speculative Parallelization for Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Shared-Memory Multiprocessors, Speculative Parallelization
36Takahiro Koita, Tetsuro Katayama, Keizo Saisho, Akira Fukuda Memory Conscious Scheduling for Cluster-based NUMA Multiprocessors. Search on Bibsonomy J. Supercomput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF dynamic space-sharing, page placement, cluster-based NUMA multiprocessors, multiprogrammed environments, processor scheduling
36Dimitrios S. Nikolopoulos, Theodore S. Papatheodorou, Constantine D. Polychronopoulos, Jesús Labarta, Eduard Ayguadé UPMLIB: A Runtime System for Tuning the Memory Performance of OpenMP Programs on Scalable Shared-Memory Multiprocessors. Search on Bibsonomy LCR The full citation details ... 2000 DBLP  DOI  BibTeX  RDF operating systems, memory management, OpenMP, runtime systems, scalable shared-memory multiprocessors
36David A. Koufaty, Josep Torrellas Compiler Support for Data Forwarding in Scalable Shared-Memory Multiprocessors. Search on Bibsonomy ICPP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF cache hierarchy performance, compiler algorithms to hide memory latency, shared-memory multiprocessors, cache coherence protocols, data forwarding
36Edouard Bugnion, Scott Devine, Kinshuk Govil, Mendel Rosenblum Disco: Running Commodity Operating Systems on Scalable Multiprocessors. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF virtual machines, scalable multiprocessors
36James H. Anderson, Rohit Jain, Srikanth Ramamurthy Wait-free object-sharing schemes for real-time uniprocessors and multiprocessors. Search on Bibsonomy RTSS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF wait-free object-sharing schemes, real-time uniprocessors, real-time multiprocessors, priority inheritance protocol, breakdown utilization experiments, lock-based schemes, multiprocessing systems, nonblocking, user level, priority ceiling protocol
36Naraig Manjikian Combining Loop Fusion with Prefetching on Shared-memory Multiprocessors. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Cache locality enhancement, Compilers, Prefetching, Shared-memory multiprocessors, Loop transformations
36David A. Koufaty, Xiangfeng Chen, David K. Poulsen, Josep Torrellas Data Forwarding in Scalable Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Memory latency hiding, forwarding and prefetching, multiprocessor caches, address trace analysis, scalable shared-memory multiprocessors
36Anant Agarwal, David A. Kranz, Venkat Natarajan Automatic Partitioning of Parallel Loops and Data Arrays for Distributed Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Automatic loop partitioning, minimizing communication, compilers, shared-memory multiprocessors, tiling
36Sandhya Dwarkadas, J. Robert Jump, James B. Sinclair Execution-Driven Simulation of Multiprocessors: Address and Timing Analysis. Search on Bibsonomy ACM Trans. Model. Comput. Simul. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF parallel tracing, distributed systems, shared-memory multiprocessors, execution-driven simulation
36Oscar G. Plata, Francisco F. Rivera Combining static and dynamic scheduling on distributed-memory multiprocessors. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1994 DBLP  DOI  BibTeX  RDF dynamic and static scheduling, load balancing, message-passing, distributed-memory multiprocessors, loop scheduling
36Montse Peiron, Mateo Valero, Eduard Ayguadé Synchronized access to streams in SIMD vector multiprocessors. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1994 DBLP  DOI  BibTeX  RDF SIMD vector multiprocessors, multi-module memories, vectors with constant stride, interconnection networks, conflict-free access
36Peter F. Corbett, Isaac D. Scherson Sorting in Mesh Connected Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF MeshSort, multidimensional mesh-connected multiprocessors, ShearSort, orthogonal vectors, reduced architecture, multidimensional memory structure, FastMeshSort, parallel algorithms, multiprocessor interconnection networks, sorting, routing algorithm, parallel sorting, sorting algorithm, Bitonic Sort
34Nian-Feng Tzeng, Shiwa S. Fu Efficient implementation of mutual exclusion locks in large multiprocessors. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF mutual exclusion locks, large multiprocessors, hot-spot contention, tree of locks, data structures, distributed algorithms, synchronization, concurrency control, multiprocessors, mutual exclusion, multistage interconnection networks, contention, rings, critical sections
34Thomas E. Anderson The Performance of Spin Lock Alternatives for Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF software queueing, CSMA network protocols, Ethernet backoff, Symmetry Model B, spinlock alternatives, shared-money multiprocessors, atomic instructions, softwarespin-waiting algorithms, dynamic arbitration, parallelprocessing, performance evaluation, distributed system, delays, storage management, multistage interconnection network, shared data structures, shared bus multiprocessors
34Rhys S. Francis, Ian D. Mathieson A Benchmark Parallel Sort for Shared Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF Sequent Balance 21000 System, parallel algorithms, benchmark, multiprocessors, sorting, shared memory multiprocessors, MIMD, computer testing, parallel sort, sort algorithm
34David A. Padua, David J. Kuck, Duncan H. Lawrie High-Speed Multiprocessors and Compilation Techniques. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1980 DBLP  DOI  BibTeX  RDF high-speed multiprocessors, parallel processing, compilers, interconnection networks, multiprocessors, pipelining, vectorizers, Automatic translation
34Vijay S. Pai, Parthasarathy Ranganathan, Sarita V. Adve The Impact of Instruction-Level Parallelism on Multiprocessor Performance and Simulation Methodology. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
34John L. Gustafson Reevaluating Amdahl's Law. Search on Bibsonomy Commun. ACM The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
32Yu Zhang, Alex K. Jones Non-uniform fat-meshes for chip multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
32Jinpeng Wei, Calton Pu Multiprocessors May Reduce System Dependability under File-Based Race Condition Attacks. Search on Bibsonomy DSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Probabilistic Modeling, Race Condition
32Tomer Y. Morad, Uri C. Weiser, A. Kolodnyt, Mateo Valero, Eduard Ayguadé Performance, power efficiency and scalability of asymmetric cluster chip multiprocessors. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Shih-Wei Liao Parallelizing User-Defined and Implicit Reductions Globally on Multiprocessors. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF reduction recognition, implicit reductions, user-defined reductions, parallelization, multiprocessor, multicore, Reduction, data flow analysis, interprocedural analysis
32Hyeonjoong Cho, Binoy Ravindran, E. Douglas Jensen An Optimal Real-Time Scheduling Algorithm for Multiprocessors. Search on Bibsonomy RTSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Shelby H. Funk, Sanjoy K. Baruah Task Assignment on Uniform Heterogeneous Multiprocessors. Search on Bibsonomy ECRTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Ravi R. Iyer 0001, Laxmi N. Bhuyan Switch Cache: A Framework for Improving the Remote Memory Access Latency of CC-NUMA Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
32Dimitris Dimitrelos, Constantine Halatsis Improving the Performance of Distributed Shared Memory Environments on Grid Multiprocessors. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
32David R. Helman, Joseph F. JáJá Designing Practical Efficient Algorithms for Symmetric Multiprocessors. Search on Bibsonomy ALENEX The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
32Tao Li, Ben-Wei Rong A Versatile Directory Scheme(Dir2NB+L) and Its Implementation on BY91-1 Multiprocessors System. Search on Bibsonomy APDC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
32Bryan S. Rosenburg Low-Synchronization Translation Lookaside Buffer Consistency in Large-Scale Shared-Memory Multiprocessors. Search on Bibsonomy SOSP The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
32Christoph Scheurich, Michel Dubois 0001 The design of a lockup-free cache for high-performance multiprocessors. Search on Bibsonomy SC The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
31Guy E. Blelloch, Phillip B. Gibbons, Harsha Vardhan Simhadri Brief announcement: low depth cache-oblivious sorting. Search on Bibsonomy SPAA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF schedulers, parallel algorithms, multiprocessors, sorting, merging, cache-oblivious algorithms
31Tao Li 0006, Lizy Kurian John ADir_pNB: A Cost-Effective Way to Implement Full Map Directory-Based Cache Coherence Protocols. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF directory protocols, computer architecture, shared memory multiprocessors, Cache coherence
31Donald Yeung, John Kubiatowicz, Anant Agarwal Multigrain shared memory. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF symmetric multiprocessors, distributed memory, system of systems
31S. Muthukrishnan 0001, Rajmohan Rajaraman, Anthony Shaheen, Johannes Gehrke Online Scheduling to Minimize Average Stretch. Search on Bibsonomy FOCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF scheduling, multiprocessors, online algorithms, competitive analysis
31Jack L. Lo, Susan J. Eggers, Joel S. Emer, Henry M. Levy, Rebecca L. Stamm, Dean M. Tullsen Converting Thread-Level Parallelism to Instruction-Level Parallelism via Simultaneous Multithreading. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF multiprocessors, multithreading, instruction-level parallelism, thread-level parallelism, simultaneous multithreading, cache interference
31Jack L. Lo, Susan J. Eggers, Henry M. Levy, Sujay S. Parekh, Dean M. Tullsen Tuning Compiler Optimizations for Simultaneous Multithreading. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF cyclic algorithm, fine-grained sharing, inter-thread instruction-level parallelism, loop-iteration scheduling, memory system resources, software speculative execution, performance, parallel programs, parallel architecture, compiler optimizations, shared-memory multiprocessors, processor architecture, instructions, simultaneous multithreading, latency hiding, loop tiling, optimising compilers, inter-processor communication, cache size
30Hyunhee Kim, Jung Ho Ahn, Jihong Kim 0001 Replication-aware leakage management in chip multiprocessors with private L2 cache. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF leakage power management, chip multiprocessors, L2 caches
30Ayse K. Coskun, Richard D. Strong, Dean M. Tullsen, Tajana Simunic Rosing Evaluating the impact of job scheduling and power management on processor lifetime for chip multiprocessors. Search on Bibsonomy SIGMETRICS/Performance The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reliability, chip multiprocessors, thermal management, simulation methodology
30Jinglei Wang, Dongsheng Wang 0002, Yibo Xue, Haixia Wang 0001 An Efficient Lightweight Shared Cache Design for Chip Multiprocessors. Search on Bibsonomy APPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Directory-based Cache Coherence Protocol, Lightweight Shared Cache, Chip Multiprocessors (CMP)
30Hongyang Sun 0001, Yangjie Cao, Wen-Jing Hsu Non-clairvoyant speed scaling for batched parallel jobs on multiprocessors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF batched parallel jobs, non-clairvoyant, power budget, simulations, scheduling, multiprocessors, energy consumption, flow time, speed scaling
30Seung Woo Son 0001, Mahmut T. Kandemir, Mustafa Karaköy, Dhruva R. Chakrabarti A compiler-directed data prefetching scheme for chip multiprocessors. Search on Bibsonomy PPoPP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF compiler, chip multiprocessors, prefetching, helper thread
30Xiangrong Zhou, Chenjie Yu, Alokika Dash, Peter Petrov Application-aware snoop filtering for low-power cache coherence in embedded multiprocessors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF snoop filtering, Cache coherence, low-power embedded systems, embedded multiprocessors
30Hemayet Hossain, Sandhya Dwarkadas, Michael C. Huang 0001 Improving support for locality and fine-grain sharing in chip multiprocessors. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ARMCO, L1-to-L1 direct access, fine-grain sharing, chip multiprocessors, cache coherence
30Michele Petracca, Benjamin G. Lee, Keren Bergman, Luca P. Carloni Design Exploration of Optical Interconnection Networks for Chip Multiprocessors. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Networks-on-Chip, Interconnection, Chip Multiprocessors, Optics
30Zvika Guz, Idit Keidar, Avinoam Kolodny, Uri C. Weiser Utilizing shared data in chip multiprocessors with the nahalal architecture. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF chip multiprocessors, cache memories
30Chinnakrishnan S. Ballapuram, Ahmad Sharif, Hsien-Hsin S. Lee Exploiting access semantics and program behavior to reduce snoop power in chip multiprocessors. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF MESI protocol, internal and external snoops, self-modifying code, chip multiprocessors
30Lisa Higham, LillAnne Jackson, Jalal Kawash Specifying memory consistency of write buffer multiprocessors. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Memory consistency framework, partial store order, relaxed memory order, sparc multiprocessors, total store order, write-buffer architectures, coherence, sequential consistency, alpha
30Yu Murata, Wataru Kanda, Kensuke Hanaoka, Hiroo Ishikawa, Tatsuo Nakajima A Study on Asymmetric Operating Systems on Symmetric Multiprocessors. Search on Bibsonomy EUC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Multiple OSes Environment, InterProcessor Interrupts, InterOS Communications, Operating Systems, Symmetric Multiprocessors
30David K. Tam, Reza Azimi, Michael Stumm Thread clustering: sharing-aware scheduling on SMP-CMP-SMT multiprocessors. Search on Bibsonomy EuroSys The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cache behavior, detecting sharing, performance monitoring unit, single-chip multiprocessors, thread placement, resource allocation, CMP, multithreading, sharing, SMP, simultaneous multithreading, SMT, shared caches, cache locality, thread scheduling, thread migration, hardware performance monitors, hardware performance counters, affinity scheduling
30Rakesh Kumar 0002, Dean M. Tullsen, Norman P. Jouppi Core architecture optimization for heterogeneous chip multiprocessors. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF computer architecture, multi-core architectures, heterogeneous chip multiprocessors
30Suleyman Tosun, Nazanin Mansouri, Mahmut T. Kandemir, Ozcan Ozturk 0001 An ILP Formulation for Task Scheduling on Heterogeneous Chip Multiprocessors. Search on Bibsonomy ISCIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Reliability, DVS, energy minimization, duplication, heterogeneous chip multiprocessors
30Xiaogang Qiu, Michel Dubois 0001 Moving Address Translation Closer to Memory in Distributed Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dynamic address translation, virtual-address caches, simulations, Multiprocessors, distributed shared memory, virtual memory
30María Jesús Garzarán, Milos Prvulovic, José María Llabería, Víctor Viñals, Lawrence Rauchwerger, Josep Torrellas Tradeoffs in buffering speculative memory state for thread-level speculation in multiprocessors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Caching and buffering support, memory hierarchies, shared-memory multiprocessors, thread-level speculation, coherence protocol
30Chun Liu 0001, Anand Sivasubramaniam, Mahmut T. Kandemir Optimizing Bus Energy Consumption of On-Chip Multiprocessors Using Frequent Values. Search on Bibsonomy PDP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF On-chip Multiprocessors, Power Optimization, Value Locality
30Valentin Puente, José A. Gregorio, Ramón Beivide, Cruz Izu On the Design of a High-Performance Adaptive Router for CC-NUMA Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF hardware router design, Interconnection networks, shared memory multiprocessors, adaptive routing
30Masaru Takesue Software Queue-Based Algorithms for Pipelined Synchronization on Multiprocessors. Search on Bibsonomy ICPP Workshops The full citation details ... 2003 DBLP  DOI  BibTeX  RDF queue-based locks, algorithms, synchronization, Multiprocessors, pipelining
30Géraud Krawezik Performance comparison of MPI and three openMP programming styles on shared memory multiprocessors. Search on Bibsonomy SPAA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF performance evaluation, MPI, multiprocessors, shared memory, openMP
30JoAnn M. Paul, Alex Bobrek, Jeffrey E. Nelson, Joshua J. Pieper, Donald E. Thomas Schedulers as model-based design elements in programmable heterogeneous multiprocessors. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF schedulers, computer-aided design, performance modeling, system modeling, heterogeneous multiprocessors
30Yunheung Paek, Angeles G. Navarro, Emilio L. Zapata, Jay P. Hoeflinger, David A. Padua An Advanced Compiler Framework for Non-Cache-Coherent Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF array privatization, noncoherent caches, Put/Get, compiler, multiprocessors, dependence analysis, shared-memory programming
30Milos Prvulovic, Josep Torrellas, Zheng Zhang 0001 ReVive: Cost-Effective Architectural Support for Rollback Recovery in Shared-Memory Multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF fault tolerance, availability, checkpointing, shared-memory multiprocessors, recovery, logging, BER, rollback recovery, parity
30Naraig Manjikian, Tarek S. Abdelrahman Exploiting Wavefront Parallelism on Large-Scale Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF High-performance compilers, wavefront parallelism, locality-enhancing loop transformations, large-scale shared-memory multiprocessors, tiling, cache locality
30Kinshuk Govil, Dan Teodosiu 0002, Yongqiang Huang 0002, Mendel Rosenblum Cellular disco: resource management using virtual clusters on shared-memory multiprocessors. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF virtual machines, resource managment, fault containment, scalable multiprocessors
30Lynn Choi, Pen-Chung Yew Hardware and Compiler-Directed Cache Coherence in Large-Scale Multiprocessors: Design Considerations and Performance Study. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF performance evaluation, compiler, Computer architecture, shared-memory multiprocessors, cache coherence, memory systems
30Stefanos Kaxiras, Cliff Young Coherence Communication Prediction in Shared-Memory Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF sharing prediction, screening tests, shared memory multiprocessors
30Oh-Han Kang, Dharma P. Agrawal S3MP: A Task Duplication Based Scalable Scheduling Algorithm for Symmetric Multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF heuristic, Task scheduling, directed acyclic graph, symmetric multiprocessors, task duplication
30Mukul Khandelia, Shuvra S. Bhattacharyya Contention-Conscious Transaction Ordering in Embedded Multiprocessors. Search on Bibsonomy ASAP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF self-timed scheduling, multiprocessor synchronization, interprocessor communication, dataflow programming, embedded multiprocessors
30Thomas H. Cormen, James C. Clippinger Performing BMMC Permutations Efficiently on Distributed-Memory Multiprocessors with MPI. Search on Bibsonomy Algorithmica The full citation details ... 1999 DBLP  DOI  BibTeX  RDF BMMC permutations, MPI, Affine transformations, Distributed-memory multiprocessors
30Mahmut T. Kandemir, Alok N. Choudhary, J. Ramanujam, Prithviraj Banerjee On Reducing False Sharing while Improving Locality on Shared Memory Multiprocessors. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF loop and memory layout transformations, shared-memory multiprocessors, data reuse, cache locality, false sharing
30Dongho Yoo, Inbum Jung, Seung Ryoul Maeng, Hyunglae Roh Multistage Ring Network: A New Multiple Ring Network for Large Scale Multiprocessors. Search on Bibsonomy ICPP Workshops The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Multiple ring network, Interconnection network, Multiprocessors, Ring network
30Kelvin K. Yue, David J. Lilja An Effective Processor Allocation Strategy for Multiprogrammed Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Parallel loop scheduling, operating system, shared-memory multiprocessors, multiprogramming, processor allocation
30Shiwa S. Fu, Nian-Feng Tzeng A Circular List-Based Mutual Exclusion Scheme for Large Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Circular lists, hot-spot contention, tree of locks, multiprocessors, mutual exclusion, critical sections, linked lists
30Guy E. Blelloch, Phillip B. Gibbons, Yossi Matias, Marco Zagha Accounting for Memory Bank Contention and Delay in High-Bandwidth Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Memory bank contention, memory delays, parallel machine models, parallel algorithms, performance analysis, multiprocessors, shared memory
30Maged M. Michael, Michael L. Scott Relative Performance of Preemption-Safe Locking and Non-Blocking Synchronization on Multiprogrammed Shared Memory Multiprocessors. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF relative performance, preemption safe locking, non blocking synchronization, multiprogrammed shared memory multiprocessors, inopportune preemption, synchronized parallel applications, concurrent atomic update, non blocking lock free algorithms, kernel support, universal atomic primitive, 12 processor SGI Challenge multiprocessor, multiprogrammed machines, priority queues, multiprogramming, stacks, concurrent data structures, counters, FIFO queues, shared data structures
30Sudarsan Tandri, Tarek S. Abdelrahman Automatic Partitioning of Data and Computations on Scalable Shared Memory Multiprocessors. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF computation partitioning, scalable, shared memory multiprocessors, automatic parallelization, data distribution, compiler analysis
30Myoung Kwon Tcheun, Hyunsoo Yoon, Seung Ryoul Maeng An adaptive sequential prefetching scheme in shared-memory multiprocessors. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF adaptive sequential prefetching scheme, hardware controlled scheme, high sequentiality, shared-memory multiprocessors, shared memory systems, application programs, sequentiality, memory accesses
30Edward D. Moreno, Sergio Takeo Kofuji Efficiency of remote access caches in future SMP-based CC-NUMA multiprocessors: initial results. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF shared remote access cache, future SMP based CC-NUMA multiprocessors, symmetric multiprocessor nodes, future architectures, realistic hardware parameters, state of the art systems components, SPLASH-2 benchmark suite, performance application, baseline architecture, approach-1, slow network, approach-2, fast network, 32-processor system, four-processor SMP nodes, two-processor SMP nodes, multiprocessing systems, execution time, cost effectiveness
30Fredrik Dahlgren, Per Stenström Evaluation of Hardware-Based Stride and Sequential Prefetching in Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Hardware-controlled prefetching, relaxed memory consistency, performance evaluation, shared-memory multiprocessors, latency tolerance
30Yu-Kwong Kwok, Ishfaq Ahmad Dynamic Critical-Path Scheduling: An Effective Technique for Allocating Task Graphs to Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF clustering, Algorithms, multiprocessors, processor allocation, task graphs, list scheduling, parallel scheduling
Displaying result #1 - #100 of 3723 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license