The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for netlists with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1986-1992 (15) 1994-1997 (19) 1998-1999 (17) 2000-2001 (22) 2002-2003 (24) 2004-2005 (24) 2006 (18) 2007-2008 (22) 2009-2013 (16) 2014-2019 (15) 2020-2022 (15) 2023-2024 (10)
Publication types (Num. hits)
article(64) incollection(1) inproceedings(151) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 191 occurrences of 150 keywords

Results
Found 217 publication records. Showing 217 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
68Manish Pandey, Alok Jain, Randal E. Bryant, Derek L. Beatty, Gary York, Samir Jain Extraction of finite state machines from transistor netlists by symbolic simulation. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF finite state machine extraction, transistor netlists, clock level finite state machines, gate level representation, circuit clocking, output timing, simulation patterns, next state, output function, equivalent FSM, static storage structures, time multiplexed inputs, time multiplexed outputs, finite state machines, logic design, logic CAD, circuit analysis computing, FSMs, symbolic simulation, symbolic simulator, Ordered Binary Decision Diagrams
63Benjamin Carrión Schäfer, Taewhan Kim Hotspots Elimination and Temperature Flattening in VLSI Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
63Andrew B. Kahng, Sherief Reda Zero-Change Netlist Transformations: A New Technique for Placement Benchmarking. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
63Sungwoo Park, Jinha Kim, Hyeonseung Im Functional netlists. Search on Bibsonomy ICFP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF linear type system, functional language, hardware description language
51Joachim Pistorius, Edmée Legai, Michel Minoux PartGen: a generator of very large circuits to benchmark thepartitioning of FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
50Per Bjesse A Practical Approach to Word Level Model Checking of Industrial Netlists. Search on Bibsonomy CAV The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
50Kenneth Eguro, Scott Hauck Simultaneous Retiming and Placement for Pipelined Netlists. Search on Bibsonomy FCCM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
50Kenneth Eguro, Scott Hauck Enhancing timing-driven FPGA placement for pipelined netlists. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF timing-driven, FPGA, simulated annealing, pipelined, placement
50Jason Baumgartner, Hari Mony Maximal Input Reduction of Sequential Netlists via Synergistic Reparameterization and Localization Strategies. Search on Bibsonomy CHARME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
43S. Dabas, Ning Dong 0002, Jaijeet S. Roychowdhury Automated Extraction of Accurate Delay/Timing Macromodels of Digital Gates and Latches using Trajectory Piecewise Methods. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF gate delay modelling, accurate delay/timing macromodels, digital gates, trajectory-piecewise automated nonlinear macromodelling methods, mixed-signal/RF domain, SPICE-level netlists, transparent retargetability, NAND gates, NOR gates, sequential latch, latches, full adder, current-source models, XOR gates
43Mohamed Soufi, Steve Rochon, Yvon Savaria, Bozena Kaminska Design and performance of CMOS TSPC cells for high speed pseudo random testing. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF CMOS TSPC cells, high speed pseudo random testing, built-in self-test scheme, HSpice simulations, functionally equivalent logic block, true single phase clocking, logic testing, built-in self test, integrated circuit testing, logic CAD, layout, circuit analysis computing, clocks, circuit layout CAD, CMOS logic circuits, SPICE, cellular arrays, integrated circuit layout, test methodology, untestable faults, netlists
38Qinghua Liu, Malgorzata Marek-Sadowska Semi-Individual Wire-Length Prediction With Application to Logic Synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Phillip Christie A differential equation for placement analysis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
38Tai-Hung Liu, Adnan Aziz, Vigyan Singhal Optimizing designs containing black boxes. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF IP-based design, hierarchical logic synthesis, Don't cares
38Chunduri Rama Mohan, Srobona Mitra, Partha Pal Chaudhuri On Incorporation of BIST for the Synthesis of Easily and Fully Testable Controllers. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF fully testable controllers, testing scheme, Cadence, target library, built-in self test, cellular automata, VHDL, ATPGs, BIST, testability, FSMs, partial scan, VERILOG, area overhead, RTL designs, SYNERGY, full scan, stuck-at fault model
37Jason Baumgartner, Tamir Heyman, Vigyan Singhal, Adnan Aziz An Abstraction Algorithm for the Verification of Level-Sensitive Latch-Based Netlists. Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF phase abstraction, automatic abstraction, CTL model checking, level-sensitive latch, bisimulation, model reduction
37Rolf Drechsler, Mitchell A. Thornton Computation of Spectral Information from Logic Netlists. Search on Bibsonomy ISMVL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
37C. P. Ravikumar, Mukul R. Prasad, Lavmeet S. Hora Estimation of Power from Module-level Netlists. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
37Roman Kuznar, Franc Brglez PROP: a recursive paradigm for area-efficient and performance oriented partitioning of large FPGA netlists. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF optimization, FPGA, partitioning, resynthesis, critical path delay
25Ajay Kumar Verma, Paolo Ienne Improving XOR-Dominated Circuits by Exploiting Dependencies between Operands. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multiplier-like circuits, XOR-dominated circuits, datapath design, netlist optimization, carry-save form, column compressors, XOR operations, logic synthesizers, algebraic factoring, computer arithmetic, logic synthesis, digital design, parallel multipliers
25Akshay Sharma, Carl Ebeling, Scott Hauck PipeRoute: a pipelining-aware router for reconfigurable architectures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Andrew B. Kahng, Sherief Reda Evaluation of placer suboptimality via zero-change netlist transformations. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF placer suboptimality, benchmarking, wirelength
25Michael D. Hutton, Jonathan Rose, Derek G. Corneil Automatic generation of synthetic sequential benchmark circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Srihari Cadambi, Seth Copen Goldstein Static Profile-Driven Compilation for FPGAs. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Daniel R. Brasen, Gabriele Saucier Using cone structures for circuit partitioning into FPGA packages. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
25Paolo Ienne, Alexander Grießing Practical Experiences with Standard-Cell Based Datapath Design Tools: Do We Really Need Regular Layouts? Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF migration, timing optimazation, custom sizing
25Scott W. Hadley, Brian L. Mark, Anthony Vannelli An efficient eigenvector approach for finding netlist partitions. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
24Ryotaro Negishi, Tatsuki Kurihara, Nozomu Togawa Hardware-Trojan Detection at Gate-Level Netlists Using a Gradient Boosting Decision Tree Model and Its Extension Using Trojan Probability Propagation. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
24Wenxing Hu, Xianke Zhan, Minglei Tong Parsing Netlists of Integrated Circuits from Images via Graph Attention Network. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
24Ryotaro Negishi, Nozomu Togawa Evaluation of Ensemble Learning Models for Hardware-Trojan Identification at Gate-level Netlists. Search on Bibsonomy ICCE The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
24Jonathan Cruz 0001, Christopher Posada, Naren Vikram Raj Masna, Prabuddha Chakraborty, Pravin Gaikwad, Swarup Bhunia A Framework for Automated Exploration of Trojan Attack Space in FPGA Netlists. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
24Sergio Vinagrero Gutierrez, Giorgio Di Natale, Elena Ioana Vatajelu Python Framework for Modular and Parametric SPICE Netlists Generation. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
24Ram Venkat Narayanan, Aparajithan Nathamuni Venkatesan, Kishore Pula, Sundarakumar Muthukumaran, Ranga Vemuri Reverse Engineering Word-Level Models from Look-Up Table Netlists. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
24Kishore Pula, Aparajithan Nathamuni Venkatesan, Ram Venkat Narayanan, Sundarakumar Muthukumaran, Ranga Vemuri, John Marty Emmert RELUT-GNN: Reverse Engineering Data Path Elements From LUT Netlists Using Graph Neural Networks. Search on Bibsonomy MWSCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
24Sundarakumar Muthukumaran, Aparajithan Nathamuni Venkatesan, Kishore Pula, Ram Venkat Narayanan, Ranga Vemuri, John Marty Emmert Reverse Engineering of RTL Controllers from Look-Up Table Netlists. Search on Bibsonomy ISVLSI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
24Esther Goudet, Luis Peña Treviño, Lirida A. B. Naviner, Jean-Marc Daveau, Philippe Roche Fast analysis of combinatorial netlists correctness rate based on binomial law and partitioning. Search on Bibsonomy LATS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
24Ram Venkat Narayanan, Aparajithan Nathamuni Venkatesan, Kishore Pula, Sundarakumar Muthukumaran, Ranga Vemuri Reverse Engineering Word-Level Models from Look-Up Table Netlists. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
24Ying Zhang, Sen Li, Xin Chen, Jiaqi Yao, Zhiming Mao, Jizhong Yang, Yifeng Hua Hybrid multi-level hardware Trojan detection platform for gate-level netlists based on XGBoost. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Zhao Huang, Changjian Xie, Zeyu Li, Maofan Du, Quan Wang 0006 A Hardware Trojan Detection and Diagnosis Method for Gate-Level Netlists Based on Different Machine Learning Algorithms. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Lilas Alrahis, Abhrajit Sengupta, Johann Knechtel, Satwik Patnaik, Hani H. Saleh, Baker Mohammad, Mahmoud Al-Qutayri, Ozgur Sinanoglu GNN-RE: Graph Neural Networks for Reverse Engineering of Gate-Level Netlists. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Ryotaro Negishi, Tatsuki Kurihara, Nozomu Togawa Hardware-Trojan Detection at Gate-level Netlists using Gradient Boosting Decision Tree Models. Search on Bibsonomy ICCE-Berlin The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Seyed Alireza Damghani, Kenneth B. Kent Yosys+Odin-II: The Odin-II Partial Mapper with Yosys Coarse-grained Netlists in VTR. Search on Bibsonomy FPGA The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Seyed Alireza Damghani, Kenneth B. Kent Odin-II Partial Technology Mapping for Yosys Coarse-grained Netlists in VTR. Search on Bibsonomy FCCM The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Kazuki Yamashita, Tomohiro Kato, Kento Hasegawa, Seira Hidano, Kazuhide Fukushima, Nozomu Togawa Effective Hardware-Trojan Feature Extraction Against Adversarial Attacks at Gate-Level Netlists. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Aditi Singh Equivalence Checking of Non-Binary Combinational Netlists. Search on Bibsonomy VLSID The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Kohei Nozawa, Kento Hasegawa, Seira Hidano, Shinsaku Kiyomoto, Kazuo Hashimoto, Nozomu Togawa Generating Adversarial Examples for Hardware-Trojan Detection at Gate-Level Netlists. Search on Bibsonomy J. Inf. Process. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Nicholas V. Giamblanco, Andrew Schmidt vlang: Mapping Verilog Netlists to Modern Technologies. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
24Konstantinos G. Liakos, Georgios K. Georgakilas, Fotis C. Plessas Hardware Trojan Classification at Gate-level Netlists based on Area and Power Machine Learning Analysis. Search on Bibsonomy ISVLSI The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Tong Lu, Fang Zhou 0001, Ning Wu, Fen Ge, Benjun Zhang Hardware Trojan Detection Method for Gate-Level Netlists Based on the Idea of Few-Shot Learning. Search on Bibsonomy ICCT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Kento Hasegawa, Seira Hidano, Kohei Nozawa, Shinsaku Kiyomoto, Nozomu Togawa Data Augmentation for Machine Learning-Based Hardware Trojan Detection at Gate-Level Netlists. Search on Bibsonomy IOLTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Carina Wiesen How do engineers analyze netlists?: Human problem-solving processes in hardware reverse engineering. Search on Bibsonomy 2021   RDF
24Michael A. Turi Scripts for Easier Use of Spice (SEUS): A Perl script package for simulating and creating batches of circuit netlists for Monte Carlo simulations when using Ngspice or Ngspice-based simulators. Search on Bibsonomy J. Open Source Softw. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
24Nobutaka Kito, Kazuyoshi Takagi, Naofumi Takagi Conversion of Logic Gates in Netlists for Rapid Single Flux Quantum Circuits Utilizing Confluence of Pulses. Search on Bibsonomy IPSJ Trans. Syst. LSI Des. Methodol. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
24Kohei Nozawa, Kento Hasegawa, Seira Hidano, Shinsaku Kiyomoto, Kazuo Hashimoto, Nozomu Togawa Adversarial Examples for Hardware-Trojan Detection at Gate-Level Netlists. Search on Bibsonomy CyberICPS/SECPRE/SPOSE/ADIoT@ESORICS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
24Kento Hasegawa, Masao Yanagisawa, Nozomu Togawa Empirical Evaluation and Optimization of Hardware-Trojan Classification for Gate-Level Netlists Based on Multi-Layer Neural Networks. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
24Stelios N. Neophytou, Maria K. Michael Path Representation in Circuit Netlists Using Linear-Sized ZDDs with Optimal Variable Ordering. Search on Bibsonomy J. Electron. Test. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
24Kento Hasegawa, Masao Yanagisawa, Nozomu Togawa Trojan-Net Feature Extraction and Its Application to Hardware-Trojan Detection for Gate-Level Netlists Using Random Forest. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
24Kento Hasegawa, Masao Yanagisawa, Nozomu Togawa A Hardware-Trojan Classification Method Using Machine Learning at Gate-Level Netlists Based on Trojan Features. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
24Kento Hasegawa, Masao Yanagisawa, Nozomu Togawa Trojan-feature extraction at gate-level netlists and its application to hardware-Trojan detection using random forest classifier. Search on Bibsonomy ISCAS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
24Kento Hasegawa, Masao Yanagisawa, Nozomu Togawa Hardware Trojans classification for gate-level netlists using multi-layer neural networks. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
24Masaru Oya, Noritaka Yamashita, Toshihiko Okamura, Yukiyasu Tsunoo, Masao Yanagisawa, Nozomu Togawa Hardware-Trojans Rank: Quantitative Evaluation of Security Threats at Gate-Level Netlists by Pattern Matching. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
24Thorben Casper, Herbert De Gersem, Sebastian Schöps Automatic Generation of Equivalent Electrothermal SPICE Netlists from 3D Electrothermal Field Models. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
24Kento Hasegawa, Masaru Oya, Masao Yanagisawa, Nozomu Togawa Hardware Trojans classification for gate-level netlists based on machine learning. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
24Masaru Oya, Masao Yanagisawa, Nozomu Togawa Redesign for untrusted gate-level netlists. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
24Masaru Oya, Youhua Shi, Noritaka Yamashita, Toshihiko Okamura, Yukiyasu Tsunoo, Satoshi Goto, Masao Yanagisawa, Nozomu Togawa A Hardware-Trojans Identifying Method Based on Trojan Net Scoring at Gate-Level Netlists. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
24Masaru Oya, Youhua Shi, Masao Yanagisawa, Nozomu Togawa A score-based classification method for identifying hardware-trojans at gate-level netlists. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
24Magne Voernes, Trond Ytterdal, Snorre Aunet Performance comparison of 5 subthreshold CMOS flip-flops under process-, voltage-, and temperature variations, based on netlists from layout. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
24Grigorios Lyras, Dimitrios Rodopoulos, Antonis Papanikolaou, Dimitrios Soudris Hypervised transient SPICE simulations of large netlists & workloads on multi-processor systems. Search on Bibsonomy DATE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
24Mitchell A. Thornton, Theodore W. Manikas Spectral Response of Ternary Logic Netlists. Search on Bibsonomy ISMVL The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
24Matthias Brettschneider, Tobias Häberlein From Arrows to Netlists Describing Hardware. Search on Bibsonomy ICCSA (3) The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
24John Lee 0002, Puneet Gupta 0001, Fedor Pikus Parametric Hierarchy Recovery in Layout Extracted Netlists. Search on Bibsonomy ISVLSI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
24Sho Nishida, Katsumi Wasaki Retargetable Netlists Generation and Structural Synthesis Based on a Meta Hardware Description Language: Melasy+. Search on Bibsonomy ITNG The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
24Niccolò Battezzati, Davide Serrone, Massimo Violante A new framework for the automatic insertion of mitigation structures in circuits netlists. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
24Tanuj Jindal, Charles J. Alpert, Jiang Hu, Zhuo Li 0001, Gi-Joon Nam, Charles B. Winn Detecting tangled logic structures in VLSI netlists. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF congestion prediction, rent rule, tangled logic, clustering
24Jarrod A. Roy, David A. Papa, Igor L. Markov Capo: Congestion-Driven Placement for Standard-cell and RTL Netlists with Incremental Capability. Search on Bibsonomy Modern Circuit Placement The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Yoichi Tomioka, Atsushi Takahashi 0001 Routing of Monotonic Parallel and Orthogonal Netlists for Single-Layer Ball Grid Array Packages. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24M. Moiz Khan, Spyros Tragoudas Rewiring for watermarking digital circuit netlists. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Rolf Drechsler, Wolfgang Günther 0001, Thomas Eschbach, Lothar Linhard, Gerhard Angst Recursive bi-partitioning of netlists for large number of partitions. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Rolf Drechsler, Wolfgang Günther 0001, Thomas Eschbach, Lothar Linhard, Gerhard Angst Recursive Bi-Partitioning of Netlists for Large Number of Partitions. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Vasant B. Rao, Jeffrey Soreff, Ravichander Ledalla, Fred L. Yang Aggressive crunching of extracted RC netlists. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF RC reduction, TICER, crunching, node elimination, resistor shorting, time constants, interconnect modeling, elmore delay
24Jason Baumgartner, Tamir Heyman, Vigyan Singhal, Adnan Aziz Model Checking the IBM Gigahertz Processor: An Abstraction Algorithm for High-Performance Netlists. Search on Bibsonomy CAV The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
24Avinash K. Gautam, V. Visvanathan, S. K. Nandy 0001 Automatic Generation of Tree Multipliers Using Placement-Driven Netlists. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
24C. P. Ravikumar, Hemant Joshi SCOAP-based Testability Analysis from Hierarchical Netlists. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
24Paul Tafertshofer, Andreas Ganz, Manfred Henftling A SAT-based implication engine for efficient ATPG, equivalence checking, and optimization of netlists. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF SAT-based implication engine, circuit clause description, efficient ATPG, implication evaluation, indirect implications, netlist optimization, structure based methods, graph algorithms, automatic testing, logic circuits, graph model, equivalence checking, implication graph
24Peter Marwedel, Steven Bashford, Rainer Dömer, Birger Landwehr, Ingolf Markhof A Technique for Avoiding Isomorphic Netlists in Architectural Synthesis. Search on Bibsonomy ED&TC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
24K. J. Singh, P. A. Subrahmanyam Extracting RTL models from transistor netlists. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Switch-level simulation, Formal verification, Extraction, RTL model
24David B. Bernstein, Werner van Almsick, Wilfried Daehn Distributed simulation for structural VHDL netlists. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF VHDL
24Allen C.-H. Wu, Daniel D. Gajski Partitioning algorithms for layout synthesis from register-transfer netlists. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
24John T. O'Donnell Generating Netlists from Executable Circuit Specifications. Search on Bibsonomy Functional Programming The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
24Allen C.-H. Wu, Daniel Gajski Partitioning Algorithms for Layout Synthesis from Register-Transfer Netlists. Search on Bibsonomy ICCAD The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
24J. Y. Murzin FAON: a functional abstractor of netlists. Search on Bibsonomy SPLT The full citation details ... 1986 DBLP  BibTeX  RDF
13Peter A. Jamieson, Kenneth B. Kent Odin II: an open-source verilog HDL synthesis tool for FPGA cad flows (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, verilog hdl
13Satnam Singh Declarative data-parallel programming with the accelerator system. Search on Bibsonomy DAMP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF data-parallelsim
13Natarajan Viswanathan, Gi-Joon Nam, Jarrod A. Roy, Zhuo Li 0001, Charles J. Alpert, Shyam Ramji, Chris Chu ITOP: integrating timing optimization within placement. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF placement, timing optimization, physical synthesis
13Neeraj Kaul Design planning trends and challenges. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF clock planning, feedthrough generation, macro placement, power domains, power planning, time budgeting, voltage areas, prototyping, partitioning, floorplanning, feasibility, hierarchical design, constraints generation, pin assignment
13Stephen Jang, Billy Chan, Kevin Chung, Alan Mishchenko WireMap: FPGA Technology Mapping for Improved Routability and Enhanced LUT Merging. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF area flow, cut enumeration, edge flow, FPGA, technology mapping
13Feng Wang 0004, Yuan Xie 0001, Andrés Takach Variation-aware resource sharing and binding in behavioral synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Shiyan Hu, Zhuo Li 0001, Charles J. Alpert A faster approximation scheme for timing driven minimum cost layer assignment. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic programming, np-complete, oracle, fully polynomial time approximation scheme, layer assignment
13Krzysztof Kepa, Fearghal Morgan, Krzysztof Kosciuszkiewicz, Lars Braun, Michael Hübner 0001, Jürgen Becker 0001 FPGA Analysis Tool: High-Level Flows for Low-Level Design Analysis in Reconfigurable Computing. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF design assurance, bitstream debugging, security, FPGA, Reconfigurable Computing, design verification, EDA tools
13David Bañeres, Jordi Cortadella, Michael Kishinevsky Timing-driven N-way decomposition. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF logic design, decomposition, timing optimization
Displaying result #1 - #100 of 217 (100 per page; Change: )
Pages: [1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license