The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase peak-power (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1971-1998 (15) 1999-2000 (22) 2001-2002 (29) 2003 (19) 2004 (20) 2005 (32) 2006 (35) 2007 (37) 2008 (45) 2009 (35) 2010 (27) 2011 (23) 2012 (22) 2013 (22) 2014 (17) 2015 (16) 2016 (15) 2017 (23) 2018-2019 (24) 2020 (15) 2021-2022 (27) 2023 (17) 2024 (1)
Publication types (Num. hits)
article(207) book(1) data(2) inproceedings(324) phdthesis(4)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 198 occurrences of 134 keywords

Results
Found 538 publication records. Showing 538 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
97Chia-Chien Weng, Ching-Shang Yang, Shi-Yu Huang RT-level vector selection for realistic peak power simulation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF peak power estimation, vector selection, power modeling
87Saraju P. Mohanty, N. Ranganathan, Sunil K. Chappidi ILP models for simultaneous energy and transient power minimization during behavioral synthesis. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF average power, cycle difference power, datapath scheduling, dynamic frequency clocking, multicycling, multiple supply voltages, peak power differential, Peak power
79Yosuke Takahashi, Yukihide Kohira, Atsushi Takahashi 0001 A fast clock scheduling for peak power reduction in LSI. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF general-synchronous framework, peak power reduction, power consumption estimation, clock scheduling
76Kambiz Rahimi Minimizing peak power in synchronous logic circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF power optimization, peak power, clock scheduling
75Kuen-Jong Lee, Tsung-Chu Huang An Interleaving Technique for Reducing Peak Power in Multiple-Chain Scan Circuits During Test Application. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF multiple scan chains, interleaving scan, test power reduction, peak power reduction
74Praveen Bhojwani, Jason D. Lee, Rabi N. Mahapatra SAPP: scalable and adaptable peak power management in nocs. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF network-on-chip, NoC, peak power
68Vasileios Kontorinis, Amirali Shayan, Dean M. Tullsen, Rakesh Kumar 0002 Reducing peak power with a table-driven adaptive processor core. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF resource resizing, voltage variation, peak power, adaptive architectures, decoupling capacitance
68Kuen-Jong Lee, Tsung-Chu Huang, Jih-Jeen Chen Peak-power reduction for multiple-scan circuits during test application. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF peak-power reduction, multiple scan chain based circuits, peak periodicity, peak width, power waveforms, scan-based circuits, delay buffers, interleaving scan technique, data output, logic testing, logic testing, delays, integrated circuit testing, application specific integrated circuits, SOC, boundary scan testing
68Xiaodong Zhang 0010, Kaushik Roy 0001 Design and Synthesis of Low Power Weighted Random Pattern Generator Considering Peak Power Reduction. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF BIST Synthesis, Weighted Random Pattern Generator, Low Power BIST, Testing, Low Power, Cellular Automata, Peak Power
67Saraju P. Mohanty, N. Ranganathan, Sunil K. Chappidi Simultaneous peak and average power minimization during datapath scheduling for DSP processors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF average power, datapath scheduling, dynamic frequency clocking, high-level synthesis, peak power, multiple voltages
65David Meisner, Thomas F. Wenisch Peak power modeling for data center servers with switched-mode power supplies. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF switched-mode power supplies, peak power
63Yuho Jin, Eun Jung Kim 0001, Ki Hwan Yum Peak Power Control for a QoS Capable On-Chip Network. Search on Bibsonomy ICPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
62Ranganathan Sankaralingam, Nur A. Touba Controlling Peak Power During Scan Testing. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
58Sriram Sambamurthy, Sankar Gurumurthy, Ramtilak Vemu, Jacob A. Abraham Functionally valid gate-level peak power estimation for processors. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
58Ranganathan Sankaralingam, Nur A. Touba Inserting Test Points to Control Peak Power During Scan Testing. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
58Asad Mahmood, Emmanuel Jaffrot Computationally Efficient Algorithm for Optimal Power Allocation in Multicarrier Systems with Peak-Power Constraint. Search on Bibsonomy VTC Spring The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
57Wen-Tsong Shiue High Level Synthesis for Peak Power Minimization Using ILP. Search on Bibsonomy ASAP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Peak power minimization, latency-constrained scheduling, High-level synthesis, low power design, integer linear programming, force-directed scheduling
57Xiaodong Zhang 0010, Kaushik Roy 0001 Peak Power Reduction in Low Power BIST. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF BIST Synthesis, Weighted Random Pattern Generator, Testing, Low Power
55Li Shang, Li-Shiuan Peh, Niraj K. Jha PowerHerd: a distributed scheme for dynamically satisfying peak-power constraints in interconnection networks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
54Wesley M. Felter, Karthick Rajamani, Tom W. Keller, Cosmin Rusu A performance-conserving approach for reducing peak power consumption in server systems. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF power management, power modeling, processor simulation
53Jaehwan Kim, SungHwan Park, Jong-Wha Chong Peak power control algorithm for multi-processor SoC. Search on Bibsonomy ICUIMC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF peak power control, low power, multi-core, task scheduling
51Ranganathan Sankaralingam, Rama Rao Oruganti, Nur A. Touba Static Compaction Techniques to Control Scan Vector Power Dissipation. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Static Compaction, Test Vector Compaction, Heat Minimization, Low Power, Built-In Self-Test, Design-for-Testability, Integrated Circuits, switching activity, Scan Chains, Peak power, Embedded Cores, Digital Testing
50Saraju P. Mohanty, N. Ranganathan, Sunil K. Chappidi Peak Power Minimization Through Datapath Scheduling. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
50Lars K. Rasmussen, Albert Guillen i Fabregas, Khoa D. Nguyen Power allocation for block-fading channels with arbitrary input constellations. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
49Jaynarayan T. Tudu, Erik Larsson, Virendra Singh, Hideo Fujiwara Graph theoretic approach for scan cell reordering to minimize peak shift power. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF power droop, scan chain reordering, peak power
49Irith Pomeranz, Sudhakar M. Reddy Scan-Based Delay Test Types and Their Effect on Power Dissipation During Test. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
48Michael S. Hsiao, Elizabeth M. Rudnick, Janak H. Patel Effects of delay models on peak power estimation of VLSI sequential circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF variable delay, sustainable power, n-cycle power, peak power, genetic optimization
43Patrick Girard 0001, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch Circuit Partitioning for Low Power BIST Design with Minimized Peak Power Consumption. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF BIST Design, Test, Low-power Design, Energy Consumption
43Saraju P. Mohanty, N. Ranganathan A Framework for Energy and Transient Power Reduction during Behavioral Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
42Li Shang, Li-Shiuan Peh, Niraj K. Jha PowerHerd: dynamic satisfaction of peak power constraints in interconnection networks. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low-power, interconnection networks, thermal management
42Michael S. Hsiao, Elizabeth M. Rudnick, Janak H. Patel Peak power estimation of VLSI circuits: new peak power measures. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
42Shih-Hsu Huang, Chun-Hua Cheng, Chung-Hsin Chiang, Chia-Ming Chang 0002 Peak Power Minimization through Power Management Scheduling. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Saraju P. Mohanty, Nagarajan Ranganathan A framework for energy and transient power reduction during behavioral synthesis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
41Ki-Bog Kim, Chi-Ho Lin An Optimal ILP Model for Delay Time to Minimize Peak Power and Area. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF B&B, scheduling, Pipelined, ILP, area, peak-power, datapath
41Nabil Badereddine, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Christian Landrault Scan Cell Reordering for Peak Power Reduction during Scan Test Cycles. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
40V. R. Devanathan, C. P. Ravikumar, V. Kamakoti 0001 Glitch-Aware Pattern Generation and Optimization Framework for Power-Safe Scan Test. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Low Power ATPG, Glitch Power, IR Drop, Peak Power, Power-profiling
39Yi-Ling Liu, Chun-Yao Wang, Yung-Chih Chen, Ya-Hsin Chang A novel ACO-based pattern generation for peak power estimation in VLSI circuits. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
39Zhen Zhao, Jinian Bian, Zhipeng Liu, Yunfeng Wang, Kang Zhao High Level Synthesis with Multiple supply Voltages for Energy and Combined Peak Power Minimization. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Nadir Z. Basturkmen, Sudhakar M. Reddy, Irith Pomeranz A Low Power Pseudo-Random BIST Technique. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low power, BIST, scan, pseudo-random, peak power
37Bruno Diniz, Dorgival Olavo Guedes Neto, Wagner Meira Jr., Ricardo Bianchini Limiting the power consumption of main memory. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF power and energy management, performance, main memory
37Swarup Bhunia, Hamid Mahmoodi-Meimand, Debjyoti Ghosh, Kaushik Roy 0001 Power Reduction in Test-Per-Scan BIST with Supply Gating and Efficient Scan Partitioning. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Michael S. Hsiao Peak Power Estimation Using Genetic Spot Optimization for Large VLSI Circuits. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
35Noureddine Chabini, Wayne H. Wolf An approach for integrating basic retiming and software pipelining. Search on Bibsonomy EMSOFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF embedded systems, system-on-chip, timings, instruction-level parallelism, software pipelining, VLIW, retiming, superscalar processor, peak power, code size
35Shivratna Giri Srinivasan, Mahesh K. Varanasi Optimal Constellations for the Low-SNR Noncoherent MIMO Block Rayleigh-Fading Channel. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
35Nabil Badereddine, Patrick Girard 0001, Serge Pravossoudovitch, Christian Landrault, Arnaud Virazel, Hans-Joachim Wunderlich Structural-Based Power-Aware Assignment of Don't Cares for Peak Power Reduction during Scan Testing. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Fadel F. Digham, Mohamed-Slim Alouini, Sant Arora Variable-rate variable-power non-coherent M-FSK scheme for power limited systems. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Jaynarayan T. Tudu, Erik Larsson, Virendra Singh, Vishwani D. Agrawal On Minimization of Peak Power for Scan Circuit during Test. Search on Bibsonomy ETS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Power droop, Test vector re-ordering, Low power test, Peak Power
34Mackenzie R. Scott, Rajeevan Amirtharajah Pulse width modulation for reduced peak power full-swing on-chip interconnect. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power interconnect, peak power, pulse width modulation
34Diganchal Chakraborty, P. P. Chakrabarti 0001, Arijit Mondal, Pallab Dasgupta A Framework for Estimating Peak Power in Gate-Level Circuits. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Anmol Mathur, Qi Wang Power Reduction Techniques and Flows at RTL and System Level. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
33Nabil Badereddine, Patrick Girard 0001, Arnaud Virazel, Serge Pravossoudovitch, Christian Landrault Controlling Peak Power Consumption During Scan Testing: Power-Aware DfT and Test Set Perspectives. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32F. Boccardi, Giuseppe Caire The p-Sphere Encoder: Peak-Power Reduction by Lattice Precoding for the MIMO Gaussian Broadcast Channel. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32F. Boccardi, Giuseppe Caire The p-Sphere Encoder: Peak-Power Reduction by Lattice Precoding for the MIMO Gaussian Broadcast Channel. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Weiwei Kang, Steve Hranilovic Power reduction techniques for multiple-subcarrier modulated diffuse wireless optical channels. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Masaaki Kondo, Yoshimichi Ikeda, Hiroshi Nakamura A High Performance Cluster System Design by Adaptie Power Control. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Fulvio Corno, Maurizio Rebaudengo, Matteo Sonza Reorda, Massimo Violante ALPS: A Peak Power Estimation Tool for Sequential Circuits. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
28Patrick Girard 0001, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch An adjacency-based test pattern generator for low power BIST design. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low-power electronics, adjacency-based test pattern generator, low power BIST design, pseudo-random TPG, test-per-clock BIST, peak power consumption, total energy consumption, strongly connected circuits, VLSI, fault diagnosis, logic testing, built-in self test, integrated circuit testing, automatic test pattern generation, fault coverage, test length
27Mustafa Cenk Gursoy On the Capacity of Training-Based Transmissions with Input Peak Power Constraints. Search on Bibsonomy ICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Jun Chen 0005, Venugopal V. Veeravalli Capacity Results for Block-Stationary Gaussian Fading Channels With a Peak Power Constraint. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27M. Julia Fernández-Getino García, Ove Edfors, José Manuel Páez-Borrallo Peak power reduction for OFDM systems with orthogonal pilot sequences. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Osamu Muta, Yoshihiko Akaiwa A Weighting Factor Estimation Scheme for Phase-Control based Peak Power Reduction of Turbo-coded OFDM signal. Search on Bibsonomy VTC Spring The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27K. Najeeb, Vishal Gupta, V. Kamakoti 0001, Madhu Mutyam Delay and peak power minimization for on-chip buses using temporal redundancy. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low-power, coding, crosstalk
27Wei Li 0023, Sudhakar M. Reddy, Irith Pomeranz On test generation for transition faults with minimized peak power dissipation. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF test generation, power dissipation, transition faults
27Shiyou Zhao, Kaushik Roy 0001, Cheng-Kok Koh Power Supply Noise Aware Floorplanning and Decoupling Capacitance Placement. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Sudhakar Bobba Input-Pattern-Independent Estimation of Peak Current, Peak Power Dissipation, and Maximum Voltage Variation in the Power Distribution Network of VLSI Circuits Search on Bibsonomy 2000   RDF
27Raviv Raich, Hua Qian, Guo Tong Zhou Optimization of SNDR for amplitude-limited nonlinearities. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Qing Wu 0002, Qinru Qiu, Massoud Pedram Estimation of peak power dissipation in VLSI circuits using thelimiting distributions of extreme order statistics. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Xiaobo Fan, Wolf-Dietrich Weber, Luiz André Barroso Power provisioning for a warehouse-sized computer. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF power provisioning, energy efficiency, power modeling
26Haris Gacanin, Fumiyuki Adachi A Comprehensive Performance Comparison of OFDM/TDM Using MMSE-FDE and Conventional OFDM. Search on Bibsonomy VTC Spring The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Soheil Samii, Mikko Selkälä, Erik Larsson, Krishnendu Chakrabarty, Zebo Peng Cycle-Accurate Test Power Modeling and Its Application to SoC Test Architecture Design and Scheduling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Alessandro Novello, Gabriele Atzeni, Tim Keller, Taekwang Jang A 4.1W/mm² Peak Power Density and 77% Peak Efficiency Fully Integrated DC-DC Converter based on Electromagnetically Coupled Class-D LC Oscillators and a Resonant LC Flying Impedance in 22nm FDSOI CMOS. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
23Yuan Li, Zhihong Lin, Tao Xia, Jiqing Xu, Yuxiang Tang, Shenglong Zhuo, Xuefeng Chen 0004, Xudong Zhang, Hengwei Yu, Huanli Jiang, Patrick Yin Chiang A 2GHz On-Chip-Oscilloscope with High Accuracy Pulse Width Detection for Auto-Peak-Power Controller & Peak-Current Detector in Voltage-Mode DToF Driver. Search on Bibsonomy ISCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
23Nghia Tang, Wookpyo Hong, Bai Nguyen 0001, Zhiyuan Zhou, Jong-Hoon Kim, Deukhyoun Heo Fully Integrated Switched-Inductor-Capacitor Voltage Regulator With 0.82-A/mm2 Peak Current Density and 78% Peak Power Efficiency. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
23Mengmeng Du, Hoi Lee, Jin Liu 0004 A 5-MHz 91% Peak-Power-Efficiency Buck Regulator With Auto-Selectable Peak- and Valley-Current Control. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Mengmeng Du, Hoi Lee A 5-MHz 91% peak-power-efficiency buck regulator with auto-selectable peak- and valley-current control. Search on Bibsonomy CICC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
23Shigeru Tomisato, Masaharu Hata Peak Power Reduction Method Using Adaptive Peak Reduction Signal Level Control for OFDM Transmission Systems. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Love Singhal, Sejong Oh, Eli Bozorgzadeh Statistical power profile correlation for realistic thermal estimation. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Charles Lefurgy, Xiaorui Wang, Malcolm Allen-Ware Server-Level Power Control. Search on Bibsonomy ICAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Paul M. Rosinger, Bashir M. Al-Hashimi, Nicola Nicolici Scan architecture with mutually exclusive scan segment activation for shift- and capture-power reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Mohammad Mehdi Hassani, Reza Berangi Improving the COWLS algorithm for hardware software co-synthesis of wireless client-server systems using preference vectors and peak power information. Search on Bibsonomy CompSysTech The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded systems, client server systems, wireless systems, low power consumption, hardware-software co-synthesis
22Praveen Bhojwani, Rabi N. Mahapatra, Eun Jung Kim 0001, Thomas Chen A Heuristic for Peak Power Constrained Design of Network-on-Chip (NoC) Based Multimode Systems. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Sayak Ray, Pallab Dasgupta, P. P. Chakrabarti 0001 A New Pseudo-Boolean Satisfiability based approach to Power Mode Schedulability Analysis. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Saraju P. Mohanty, Nagarajan Ranganathan, Sunil K. Chappidi ILP Models for Energy and Transient Power Minimization During Behavioral Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21V. R. Devanathan, C. P. Ravikumar, V. Kamakoti 0001 Variation-Tolerant, Power-Safe Pattern Generation. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low-power ATPG, process variation, IR drop, peak power, power profiling
21Chusit Pradabpet, K. Eupree, Sorawat Chivapreecha, Kobchai Dejhan A New PAPR Reduction Technique for OFDM-WLAN in 802.11a Systems. Search on Bibsonomy SNPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SLM, APPR, OFDM, PAPR, Wlan
21Ali Manzak, Chaitali Chakrabarti Variable voltage task scheduling algorithms for minimizing energy/power. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21David Meisner, Brian T. Gold, Thomas F. Wenisch PowerNap: eliminating server idle power. Search on Bibsonomy ASPLOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF power management, servers
21Mircea R. Stan, Wayne P. Burleson Bus-invert coding for low-power I/O. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
20Osamu Muta, Yoshihiko Akaiwa Peak Power Reduction Method Based on Structure of Parity-Check Matrix for LDPC Coded OFDM Transmission. Search on Bibsonomy VTC Spring The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20A. Dhammika S. Jayalath, Chintha Tellambura SLM and PTS peak-power reduction of OFDM signals without side information. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Dominic A. Antonelli, Alan Jay Smith, Jan-Willem van de Waerdt Power consumption and reduction in a real, commercial multimedia core. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF tm3270, multimedia, power, energy, compiler optimization, cache memory, embedded processor
20Lan Zhang 0007, Ying-Chang Liang, Yan Xin 0001 Joint Beamforming and Power Allocation for Multiple Access Channels in Cognitive Radio Networks. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Myung-Hoon Yang, YongJoon Kim, Sunghoon Chun, Sungho Kang 0001 An Effective Power Reduction Methodology for Deterministic BIST Using Auxiliary LFSR. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Built-in self-test, Power consumption, Linear feedback shift register, Reseeding
20Charles Lefurgy, Xiaorui Wang, Malcolm Allen-Ware Power capping: a prelude to power shifting. Search on Bibsonomy Clust. Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Power capping, Power shifting, Power budget, Power supplies, Power management, Feedback control, Servers, Provisioning
20Sying-Jyan Wang, Yan-Ting Chen, Katherine Shu-Min Li Low Capture Power Test Generation for Launch-off-Capture Transition Test Based on Don't-Care Filling. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Thomas L. Martin, Daniel P. Siewiorek, Asim Smailagic, Matthew Bosworth, Matthew Ettus, Jolin M. Warren A case study of a system-level approach to power-aware computing. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF battery properties, Power-aware, handheld computers, energy-aware, dynamic power management, multihop wireless network
19Travis Deyle, Matthew S. Reynolds Surface based wireless power transmission and bidirectional communication for autonomous robot swarms. Search on Bibsonomy ICRA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19K. Najeeb, Vishnu Vardhan Reddy Konda, Siva Kumar Sastry Hari, V. Kamakoti 0001, Vivekananda M. Vedula Power Virus Generation Using Behavioral Models of Circuits. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Dynamic power dissipation, Power virus, Integer Constraint Solvers, Hardware Description Languages (HDL), Behavioral Models
19Enric Musoll Speculating to reduce unnecessary power consumption. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low-power microarchitectures, Low-power design
19Enric Musoll Predicting the Usefulness of a Block Result: A Micro-Architectural Technique for High-Performance Low-Power Processors. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 538 (100 per page; Change: )
Pages: [1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license