|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 1305 occurrences of 905 keywords
|
|
|
Results
Found 7280 publication records. Showing 6477 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
97 | Cliff Chiung-Yu Lin, Yao-Wen Chang |
ILP-based pin-count aware design methodology for microfluidic biochips. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 258-263, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
microfludics, design methodology, integer linear programming, biochip |
97 | Chi-Keung Luk, Robert S. Cohn, Robert Muth, Harish Patil, Artur Klauser, P. Geoffrey Lowney, Steven Wallace, Vijay Janapa Reddi, Kim M. Hazelwood |
Pin: building customized program analysis tools with dynamic instrumentation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN 2005 Conference on Programming Language Design and Implementation, Chicago, IL, USA, June 12-15, 2005, pp. 190-200, 2005, ACM, 1-59593-056-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
program analysis tools, instrumentation, dynamic compilation |
97 | Hua Xiang 0001, Xiaoping Tang, Martin D. F. Wong |
Min-cost flow-based algorithm for simultaneous pin assignment and routing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(7), pp. 870-878, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
80 | Mohammad Mannan, Paul C. van Oorschot |
Weighing Down "The Unbearable Lightness of PIN Cracking". ![Search on Bibsonomy](Pics/bibsonomy.png) |
Financial Cryptography ![In: Financial Cryptography and Data Security, 12th International Conference, FC 2008, Cozumel, Mexico, January 28-31, 2008, Revised Selected Papers, pp. 176-181, 2008, Springer, 978-3-540-85229-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
80 | Jurjen Westra, Patrick Groeneveld |
Post-Placement Pin Optimiztion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2005 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2005), New Frontiers in VLSI Design, 11-12 May 2005, Tampa, FL, USA, pp. 238-243, 2005, IEEE Computer Society, 0-7695-2365-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
78 | Tilo Meister, Jens Lienig, Gisbert Thomke |
Novel Pin Assignment Algorithms for Components with Very High Pin Counts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008, pp. 837-842, 2008, ACM, 978-3-9810801-3-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
65 | Volker Roth 0002, Kai Richter 0002, Rene Freidinger |
A PIN-entry method resilient against shoulder surfing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCS ![In: Proceedings of the 11th ACM Conference on Computer and Communications Security, CCS 2004, Washington, DC, USA, October 25-29, 2004, pp. 236-245, 2004, ACM, 1-58113-961-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
cognitive trapdoor games, ATM, password, PIN, shoulder surfing |
65 | Man-Fai Yu, Joel Darnauer, Wayne Wei-Ming Dai |
Interchangeable pin routing with application to package layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1996, San Jose, CA, USA, November 10-14, 1996, pp. 668-673, 1996, IEEE Computer Society / ACM, 0-8186-7597-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
BGA, all-angle wiring, interchangeable pin routing, min-cost max-flow heuristic, multiple layers, octilinear wiring, package layout, pin redistribution, prerouted nets, rectilinear wiring, test fixture routing, triangulated routing network, CAD, NP-complete, ASIC, circuit layout CAD, speed, PGA, input output, routing problems |
65 | Ines Peters, Paul Molitor |
Priority driven channel pin assignment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Great Lakes Symposium on VLSI ![In: 5th Great Lakes Symposium on VLSI (GLS-VLSI '95), March 16-18, 1995, The State University of New York at Buffalo, USA, pp. 132-, 1995, IEEE Computer Society, 0-8186-7035-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
polynomial time improvement, linear channel pin assignment, LCPA algorithms, minimum channel density, vertical constraints, priority driven channel pin assignment, channel height, computational complexity, VLSI, VLSI, network routing, circuit layout CAD, running time, integrated circuit layout, priority schedule, channel routing |
65 | Charles M. Fiduccia |
Bused Hypercubes and Other Pin-Optimal Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 3(1), pp. 14-24, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
pin minimisation, simultaneous data exchange, pin-optimal networks, massively parallelarchitectures, chassis, bused hypercubeinterconnection network, clock tick, hypercube networks, processing elements, ports, chip, board |
64 | Ryo Oguchi, Yasuaki Kakehi, Keita Takahashi 0001, Takeshi Naemura |
Photonastic surface: pin matrix type display controlled with light. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Advances in Computer Entertainment Technology ![In: Proceedings of the International Conference on Advances in Computer Entertainment Technology, ACE 2008, Yokohama, Japan, December 3-5, 2008, pp. 396, 2008, ACM, 978-1-60558-393-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
PVLC projector, pin matrix type 3D form display |
64 | Yong Sin Kim, Sangho Shin, Sung-Mo Kang |
A 4-Gb/s/pin current mode 4-level simultaneous bidirectional I/O with current mismatch calibration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece, 2006, IEEE, 0-7803-9389-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
64 | Tetsushi Koide, Shin'ichi Wakabayashi, Noriyoshi Yoshida |
Pin assignment with global routing for VLSI building block layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 15(12), pp. 1575-1583, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
64 | Jason Cong |
Pin assignment with global routing for general cell designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 10(11), pp. 1401-1412, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
61 | Ren-Jie Lee, Ming-Fang Lai, Hung-Ming Chen |
Fast Flip-Chip Pin-Out Designation Respin by Pin-Block Design and Floorplanning for Package-Board Codesign. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007, pp. 804-809, 2007, IEEE Computer Society, 1-4244-0629-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
58 | Matthew Canton |
The presence table: a reactive surface for ambient connection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TEI ![In: Proceedings of the 5th International Conference on Tangible and Embedded Interaction 2011, Funchal, Madeira, Portugal, January 22-26, 2011, pp. 401-402, 2011, ACM, 978-1-4503-0478-8. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
56 | Tao Xu 0002, Krishnendu Chakrabarty |
Automated design of digital microfluidic lab-on-chip under pin-count constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2008 International Symposium on Physical Design, ISPD 2008, Portland, Oregon, USA, April 13-16, 2008, pp. 190-198, 2008, ACM, 978-1-60558-048-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
array partition, cross-referencing, lab-on-chip, pin-count constraints, microfluidics |
56 | Thorsten Völkel, Gerhard Weber 0002, Ulrich Baumann |
Tactile Graphics Revised: The Novel BrailleDis 9000 Pin-Matrix Device with Multitouch Input. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCHP ![In: Computers Helping People with Special Needs, 11th International Conference, ICCHP 2008, Linz, Austria, July 9-11, 2008. Proceedings, pp. 835-842, 2008, Springer, 978-3-540-70539-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
pin-matrix device, assistive technology, visually impaired, Tactile graphics |
56 | Hua Xiang 0001, Xiaoping Tang, Martin D. F. Wong |
An algorithm for integrated pin assignment and buffer planning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 10(3), pp. 561-572, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
min-cost maximum flow, Buffer insertion, pin assignment |
56 | Dianne Brotherson |
Turning the titanic: changing a PIN to a password. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGUCCS ![In: Proceedings of the 32nd Annual ACM SIGUCCS Conference on User Services 2004, Baltimore, MD, USA, October 10-13, 2004, pp. 315-318, 2004, ACM, 1-58113-869-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
PIN numbers, strong passwords, passwords, conversion, password security, login |
56 | Hua Xiang 0001, D. F. Wong 0001, Xiaoping Tang |
An algorithm for integrated pin assignment and buffer planning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 39th Design Automation Conference, DAC 2002, New Orleans, LA, USA, June 10-14, 2002, pp. 584-589, 2002, ACM, 1-58113-461-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
min-cost maximum flow, buffer insertion, pin assignment |
55 | Shashank Prasad |
Fast Congestion Aware Routing for Pin Assignment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 21st International Conference on VLSI Design (VLSI Design 2008), 4-8 January 2008, Hyderabad, India, pp. 343-347, 2008, IEEE Computer Society, 0-7695-3083-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
55 | Wei-Kei Mak, C.-L. Lai |
On Constrained Pin-Mapping for FPGA-PCB Codesign. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(11), pp. 2393-2401, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
55 | Le-Chin Eugene Liu, Carl Sechen |
Multilayer pin assignment for macro cell circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 18(10), pp. 1452-1461, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
54 | Kostas Daniilidis, Reinhard Klette, Ales Leonardis (eds.) |
Imaging Beyond the Pin-hole Camera. 12th Seminar on Theoretical Foundations of Computer Vision, 13.06. - 18.06.2004 ![Search on Bibsonomy](Pics/bibsonomy.png) |
Imaging Beyond the Pin-hole Camera. 12th Seminar on Theoretical Foundations of Computer Vision ![Internationales Begegnungs- und Forschungszentrum für Informatik (IBFI), Schloss Dagstuhl, Germany The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP BibTeX RDF |
|
54 | Ralf Reulke, Aloysius Wehr, Denis Griesbach |
Mobile Panoramic Mapping using CCD-Line Camera and Laser Scanner. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Imaging Beyond the Pin-hole Camera. 12th Seminar on Theoretical Foundations of Computer Vision ![In: Imaging Beyond the Pin-hole Camera. 12th Seminar on Theoretical Foundations of Computer Vision, 13.06. - 18.06.2004, 2004, Internationales Begegnungs- und Forschungszentrum für Informatik (IBFI), Schloss Dagstuhl, Germany. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP BibTeX RDF |
|
54 | Kostas Daniilidis, Reinhard Klette, Ales Leonardis |
04251 - Imaging Beyond the Pinhole Camera. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Imaging Beyond the Pin-hole Camera. 12th Seminar on Theoretical Foundations of Computer Vision ![In: Imaging Beyond the Pin-hole Camera. 12th Seminar on Theoretical Foundations of Computer Vision, 13.06. - 18.06.2004, 2004, Internationales Begegnungs- und Forschungszentrum für Informatik (IBFI), Schloss Dagstuhl, Germany. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP BibTeX RDF |
|
51 | Saar Drimer, Steven J. Murdoch, Ross J. Anderson |
Thinking Inside the Box: System-Level Failures of Tamper Proofing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SP ![In: 2008 IEEE Symposium on Security and Privacy (SP 2008), 18-21 May 2008, Oakland, California, USA, pp. 281-295, 2008, IEEE Computer Society, 978-0-7695-3168-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Chip and PIN, PIN entry devices, certification, incentives, smartcard, banking, tamper resistance, common criteria, EMV |
50 | Shuenn-Shi Chen, Wang-Dauh Tseng, Jin-Tai Yan, Sao-Jie Chen |
Printed circuit board routing and package layout codesign. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APCCAS (1) ![In: IEEE Asia Pacific Conference on Circuits and Systems 2002, APCCAS 2002, Singapore, 16-18 December 2002, pp. 155-158, 2002, IEEE, 0-7803-7690-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
48 | Yuichi Komano, Kazuo Ohta, Hideyuki Miyake, Atsushi Shimbo |
Algorithmic Tamper Proof (ATP) Counter Units for Authentication Devices Using PIN. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACNS ![In: Applied Cryptography and Network Security, 7th International Conference, ACNS 2009, Paris-Rocquencourt, France, June 2-5, 2009. Proceedings, pp. 306-323, 2009, 978-3-642-01956-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
algorithmic tamper proof (ATP), counter unit, PIN authentication |
48 | Alexander De Luca, Bernhard Frauendienst, Sebastian Boring, Heinrich Hussmann |
My phone is my keypad: privacy-enhanced PIN-entry on public terminals. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OZCHI ![In: Proceedings of the 21st Australasian Computer-Human Interaction Conference, OZCHI 2009: Open 24/7, Melbourne, Australia, November 23-27, 2009, pp. 401-404, 2009, ACM, 978-1-60558-854-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
PIN entry, security, privacy, mobile devices |
48 | Riccardo Focardi, Flaminia L. Luccio, Graham Steel |
Blunting Differential Attacks on PIN Processing APIs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NordSec ![In: Identity and Privacy in the Internet Age, 14th Nordic Conference on Secure IT Systems, NordSec 2009, Oslo, Norway, 14-16 October 2009. Proceedings, pp. 88-103, 2009, Springer, 978-3-642-04765-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Financial Cryptography, PIN Verification, Security APIs |
48 | Omer Berkman, Odelia Moshe Ostrovsky |
The Unbearable Lightness of PIN Cracking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Financial Cryptography ![In: Financial Cryptography and Data Security, 11th International Conference, FC 2007, and 1st International Workshop on Usable Security, USEC 2007, Scarborough, Trinidad and Tobago, February 12-16, 2007. Revised Selected Papers, pp. 224-238, 2007, Springer, 978-3-540-77365-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
API attack, Financial PIN Processing API, Phantom Withdrawal, VISA PVV, IBM 3624, Insider attack, HSM, EMV, Security API |
48 | Alexander De Luca, Roman Weiss, Heiko Drewes |
Evaluation of eye-gaze interaction methods for security enhanced PIN-entry. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OZCHI ![In: Proceedings of the 2007 Australasian Computer-Human Interaction Conference, OZCHI 2007, Adelaide, Australia, November 28-30, 2007, pp. 199-202, 2007, ACM, 978-1-59593-872-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
PIN entry, cash machine, look & shoot, security, privacy, dwell time, gaze gestures, eye gaze interaction |
48 | Tao Xu 0002, Krishnendu Chakrabarty |
Droplet-trace-based array partitioning and a pin assignment algorithm for the automated design of digital microfluidic biochips. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2006, Seoul, Korea, October 22-25, 2006, pp. 112-117, 2006, ACM, 1-59593-370-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
connect-5 algorithm, droplet trace, droplet-based microfluidics, pin-constrained biochip design, synthesis |
47 | Alexander De Luca, Katja Hertzschuch, Heinrich Hussmann |
ColorPIN: securing PIN entry through indirect input. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI ![In: Proceedings of the 28th International Conference on Human Factors in Computing Systems, CHI 2010, Atlanta, Georgia, USA, April 10-15, 2010, pp. 1103-1106, 2010, ACM, 978-1-60558-929-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
atm, colorpin, security, authentication |
47 | Cliff Chiung-Yu Lin, Yao-Wen Chang |
Cross-contamination aware design methodology for pin-constrained digital microfluidic biochips. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 47th Design Automation Conference, DAC 2010, Anaheim, California, USA, July 13-18, 2010, pp. 641-646, 2010, ACM, 978-1-4503-0002-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
cross-contamination, microfludics, design methodology, biochip |
47 | Matthew G. Stout, Kenneth P. Tumin |
Innovative Test Solutions for Pin-Limited Microcontrollers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 9th International Symposium on Quality of Electronic Design (ISQED 2008), 17-19 March 2008, San Jose, CA, USA, pp. 437-440, 2008, IEEE Computer Society, 978-0-7695-3117-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Freescale, Stout, Tumin, test, testing, DFT, scan, microcontroller, design-for-test, pins |
47 | Gi-Hun Yang, Ki-Uk Kyung, Mandayam A. Srinivasan, Dong-Soo Kwon |
Development of Quantitative Tactile Display Device to Provide Both Pin- Array-Type Tactile Feedback and Thermal Feedback. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WHC ![In: Second Joint EuroHaptics Conference and Symposium on Haptic Interfaces for Virtual Environment and Teleoperator Systems, WHC 2007, Tsukuba, Japan, March 22-24, 2007, pp. 578-579, 2007, IEEE Computer Society, 978-0-7695-2738-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
47 | Jurjen Westra, Patrick Groeneveld |
Towards Integration of Quadratic Placement and Pin Assignment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2005 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2005), New Frontiers in VLSI Design, 11-12 May 2005, Tampa, FL, USA, pp. 284-286, 2005, IEEE Computer Society, 0-7695-2365-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
47 | Scott Hauck, Gaetano Borriello |
Pin assignment for multi-FPGA systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 16(9), pp. 956-964, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
47 | Hannah Honghua Yang, Martin D. F. Wong |
Circuit clustering for delay minimization under area and pin constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 16(9), pp. 976-986, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
44 | Bill Jackson, Tibor Jordán |
Pin-Collinear Body-and-Pin Frameworks and the Molecular Conjecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Discret. Comput. Geom. ![In: Discret. Comput. Geom. 40(2), pp. 258-278, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Rigidity of frameworks, Body-and-hinge frameworks, Bar-and-joint frameworks, Molecular Conjecture |
44 | Rohini Gupta, John Willis, Lawrence T. Pileggi |
Analytic termination metrics for pin-to-pin lossy transmission lines with nonlinear drivers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 6(3), pp. 457-463, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
43 | Vashek Matyas, Daniel Cvrcek, Jan Krhovjak, Marek Kumpost |
Authorizing Card Payments with PINs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer ![In: Computer 41(2), pp. 64-68, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Chip and PIN technology, PIN pads, e-commerce |
42 | Piotr Bródka, Katarzyna Musial, Przemyslaw Kazienko |
Efficiency of Node Position Calculation in Social Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KES (2) ![In: Knowledge-Based and Intelligent Information and Engineering Systems, 13th International Conference, KES 2009, Santiago, Chile, September 28-30, 2009, Proceedings, Part II, pp. 455-463, 2009, Springer, 978-3-642-04591-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
node position, PIN algorithm, calculation efficiency, social network analysis |
42 | Christopher R. Wagner, Susan J. Lederman, Robert D. Howe |
A Tactile Shape Display Using RC Servomotors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Symposium on Haptic Interfaces for Virtual Environment and Teleoperator Systems ![In: 10th Symposium on Haptic Interfaces for Virtual Environment and Teleoperator Systems, HAPTICS 2002, Orlando, Florida, USA, March 24-25, 2002, Proceedings, pp. 354-356, 2002, IEEE Computer Society, 0-7695-1489-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
tactile shape display, spatially distributed forces, taction, teletaction, mechanical pin, fingertip, servo, rc servo, servomotor, tactile array, haptics, tactile display |
42 | Hector Ouilhet |
Google Sky Map: using your phone as an interface. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mobile HCI ![In: Proceedings of the 12th Conference on Human-Computer Interaction with Mobile Devices and Services, Mobile HCI 2010, Lisbon, Portugal, September 7-10, 2010, pp. 419-422, 2010, ACM, 978-1-60558-835-3. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
42 | Alexander De Luca, Marc Langheinrich, Heinrich Hussmann |
Towards understanding ATM security: a field study of real world ATM use. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SOUPS ![In: Proceedings of the Sixth Symposium on Usable Privacy and Security, SOUPS 2010, Redmond, Washington, USA, July 14-16, 2010, 2010, ACM, 978-1-4503-0264-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
design implications, security, authentication, ATM, field study, lessons learned |
42 | Prosenjit Bose, Godfried T. Toussaint |
Computing the Constrained Euclidean Geodesic and Link Center of a Simple Polygon with Application. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer Graphics International ![In: Computer Graphics International Conference, CGI 1996, Pohang, Korea, June 24-28, 1996, pp. 102-110, 1996, IEEE Computer Society, 0-8186-7518-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
42 | Doug Burger, James R. Goodman, Alain Kägi |
Memory Bandwidth Limitations of Future Microprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 23rd Annual International Symposium on Computer Architecture, Philadelphia, PA, USA, May 22-24, 1996, pp. 78-89, 1996, ACM, 0-89791-786-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
39 | Bella Dubrov, Haggai Eran, Ari Freund 0001, Edward F. Mark, Shyam Ramji, Timothy A. Schell |
Pin Assignment Using Stochastic Local Search Constraint Programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CP ![In: Principles and Practice of Constraint Programming - CP 2009, 15th International Conference, CP 2009, Lisbon, Portugal, September 20-24, 2009, Proceedings, pp. 35-49, 2009, Springer, 978-3-642-04243-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
ASIC, Constraint Programming, EDA, Stochastic Local Search, Chip Design, Pin Assignment |
39 | Zhicai Ou, Hong Qiao |
Analysis of Stable Grasping for One-Parameter Four-Pin Gripper. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIRA (2) ![In: Intelligent Robotics and Applications, First International Conference, ICIRA 2008, Wuhan, China, October 15-17, 2008 Proceedings, Part II, pp. 630-639, 2008, Springer, 978-3-540-88516-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Four-Pin Gripper, Form Closure, Attractive Region, Grasp |
39 | Marcel Jacomet, Josef Goette, Andreas Eicher |
On Using Fingerprint-Sensors for PIN-Pad Entry. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DELTA ![In: 4th IEEE International Symposium on Electronic Design, Test and Applications, DELTA 2008, Hong Kong, January 23-25, 2008, pp. 425-430, 2008, IEEE Computer Society, 978-0-7695-3110-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
fingerprint sensors, PIN-pad entry, security, smart-cards, exception handling, pressure sensing |
39 | Sara Ljungblad, Maria Håkansson, Lars Erik Holmquist |
Ubicomp challenges in collaborative scheduling: Pin&Play at the Göteborg film festival. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Pers. Ubiquitous Comput. ![In: Pers. Ubiquitous Comput. 11(7), pp. 563-575, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Pin? Ubiquitous computing, Paper-based interaction, Large-scale surfaces, Tangible interfaces, Collaborative scheduling |
39 | William L. Hwang, Fei Su, Krishnendu Chakrabarty |
Automated design of pin-constrained digital microfluidic arrays for lab-on-a-chip applications*. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 925-930, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
microfluidic biochips, pin-constrained design, virtual partitioning |
39 | Masashi Nakatani, Hiroyuki Kajimoto, Naoki Kawakami, Susumu Tachi |
Tactile sensation with high-density pin-matrix. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APGV ![In: Proceedings of the 2nd Symposium on Applied Perception in Graphics and Visualization, APGV 2005, A Coruña, Spain, August 26-28, 2005, pp. 169, 2005, ACM, 1-59593-139-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
pin-matrix, tactile sensation enhancement, tactile sensation |
39 | Harald P. E. Vranken, Tom Waayers, Hérvé Fleury, David Lelouvier |
Enhanced Reduced Pin-Count Test for Full-Scan Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 18(2), pp. 129-143, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
reduced pin-count test, core test, design for testability, ATE, boundary-scan test, scan test |
39 | Wuudiann Ke |
Hybrid Pin Control Using Boundary-Scan And Its Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 5th Asian Test Symposium (ATS '96), November 20-22, 1996, Hsinchu, Taiwan, pp. 44-49, 1996, IEEE Computer Society, 0-8186-7478-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
Boundary-Scan (B-S), Hybrid Pin Control, Fault Injection, Delay Test |
39 | Tsung-Wei Huang, Tsung-Yi Ho |
A two-stage ILP-based droplet routing algorithm for pin-constrained digital microfluidic biochips. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2010 International Symposium on Physical Design, ISPD 2010, San Francisco, California, USA, March 14-17, 2010, pp. 201-208, 2010, ACM, 978-1-60558-920-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
routing, ilp, microfluidic, biochip |
39 | Kirsten A. Peters |
Influence of Real-World Ten-Pin Bowling Experience on Performance during First-Time Nintendo Wii Bowling Practice. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCI (4) ![In: Human-Computer Interaction. Interacting in Various Application Domains, 13th International Conference, HCI International 2009, San Diego, CA, USA, July 19-24, 2009, Proceedings, Part IV, pp. 396-405, 2009, Springer, 978-3-642-02582-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Motor program, transfer of skill, real-world vs. simulation, motion capture, video games, gesture-based interaction, motor learning |
39 | Xu He, Sheqin Dong, Xianlong Hong, Satoshi Goto |
Integrated interlayer via planning and pin assignment for 3D ICs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SLIP ![In: The 11th International Workshop on System-Level Interconnect Prediction (SLIP 2009), San Francisco, CA, USA, July 26-27, 2009, Proceedings, pp. 99-104, 2009, ACM, 978-1-60558-576-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
39 | Umapada Pal 0001, Rami Kumar Roy, Kaushik Roy 0004, Fumitaka Kimura |
Indian Multi-Script Full Pin-code String Recognition for Postal Automation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDAR ![In: 10th International Conference on Document Analysis and Recognition, ICDAR 2009, Barcelona, Spain, 26-29 July 2009, pp. 456-460, 2009, IEEE Computer Society, 978-0-7695-3725-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
39 | Kher Hui Ng, Boriana Koleva, Steve Benford |
The iterative development of a tangible pin-board to symmetrically link physical and digital documents. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Pers. Ubiquitous Comput. ![In: Pers. Ubiquitous Comput. 11(3), pp. 145-155, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Prototyping, Tangible user interfaces, Actuation, Interactive surfaces |
39 | Daniel Garcia-Romero, Joaquin Gonzalez-Rodriguez, Julian Fiérrez-Aguilar, Javier Ortega-Garcia |
U-NORM Likelihood Normalization in PIN-Based Speaker Verification Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AVBPA ![In: Audio-and Video-Based Biometrie Person Authentication, 4th International Conference, AVBPA 2003, Guildford, UK, June 9-11, 2003 Proceedings, pp. 208-213, 2003, Springer, 3-540-40302-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
39 | Dongsheng Wang 0012, Ping Zhang 0001, Chung-Kuan Cheng, Arunabha Sen |
A Performance-Driven I/O Pin Routing Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 1999 Conference on Asia South Pacific Design Automation, Wanchai, Hong Kong, China, January 18-21, 1999, pp. 129-132, 1999, IEEE Computer Society, 0-7803-5012-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
35 | Kim M. Hazelwood, Artur Klauser |
A dynamic binary instrumentation engine for the ARM architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2006 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2006, Seoul, Korea, October 22-25, 2006, pp. 261-270, 2006, ACM, 1-59593-543-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
binary instrumentation, pin, dynamic translation, embedded architectures |
34 | Ines Viskic, Samar Abdi, Daniel D. Gajski |
Automatic generation of embedded communication SW for heterogeneous MPSoC platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2007 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'07), San Diego, California, USA, June 13-15, 2007, pp. 143-145, 2007, ACM, 978-1-59593-632-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
custom communication SW, pin/cycle accurate models, MPSoC, system level design, transaction level models, platform based design, automatic synthesis, on-chip communication |
33 | Guanyang Liu, Yuru Zhang, William T. Townsend |
Force modeling for tooth preparation in a dental training system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Virtual Real. ![In: Virtual Real. 12(3), pp. 125-136, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Force model, Contact geometry, Force measure, Human’s operating tracking, Haptic interaction |
33 | David A. Bader, Kamesh Madduri |
A Graph-Theoretic Analysis of the Human Protein-Interaction Network Using Multicore Parallel Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), Proceedings, 26-30 March 2007, Long Beach, California, USA, pp. 1-8, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
33 | Michael A. Taylor, Aaron R. Ferber, J. Edward Colgate |
Assessing the Efficacy of Variable Compliance Tactile Displays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WHC ![In: Second Joint EuroHaptics Conference and Symposium on Haptic Interfaces for Virtual Environment and Teleoperator Systems, WHC 2007, Tsukuba, Japan, March 22-24, 2007, pp. 427-432, 2007, IEEE Computer Society, 978-0-7695-2738-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
33 | David C. Keezer, Q. Zhou |
Alternative interface methods for testing high speed bidirectional signals. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings IEEE International Test Conference 1998, Washington, DC, USA, October 18-22, 1998, pp. 824-830, 1998, IEEE Computer Society, 0-7803-5093-6. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
31 | Seong-I Lei, Wai-Kei Mak |
Simultaneous Constrained Pin Assignment and Escape Routing for FPGA-PCB Codesign. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: International Conference on Field Programmable Logic and Applications, FPL 2011, September 5-7, Chania, Crete, Greece, pp. 435-440, 2011, IEEE Computer Society, 978-1-4577-1484-9. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
Constrained Pin Assignment, Escape Routing |
31 | Valerie Pezzullo, Steven Voinier |
Experimental and Numerical Study of the Effect of Geometric Parameters on Liquid Single-Phase Pressure Drop in Micro-Scale Pin-Fin Arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: 2011 IEEE International Conference on Cluster Computing (CLUSTER), Austin, TX, USA, September 26-30, 2011, pp. 575-579, 2011, IEEE Computer Society, 978-1-4577-1355-2. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
Friction factor, Reynolds number, pin-fin, Mesh |
31 | Jin-Tai Yan, Chung-Wei Ke, Zhi-Wei Chen |
Ordered escape routing via routability-driven pin assignment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, Providence, Rhode Island, USA, May 16-18 2010, pp. 417-422, 2010, ACM, 978-1-4503-0012-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
pcb design, routability, escape routing, pin assignment |
31 | Steven J. Murdoch, Saar Drimer, Ross J. Anderson, Mike Bond |
Chip and PIN is Broken. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Symposium on Security and Privacy ![In: 31st IEEE Symposium on Security and Privacy, SP 2010, 16-19 May 2010, Berleley/Oakland, California, USA, pp. 433-446, 2010, IEEE Computer Society, 978-0-7695-4035-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Chip and PIN, card fraud, bank security, protocol failure, authentication, EMV, security economics |
31 | Yang Zhao 0001, Ryan Sturmer, Krishnendu Chakrabarty, Vamsee K. Pamula |
Synchronization of Concurrently-Implemented Fluidic Operations in Pin-Constrained Digital Microfluidic Biochips. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: VLSI Design 2010: 23rd International Conference on VLSI Design, 9th International Conference on Embedded Systems, Bangalore, India, 3-7 January 2010, pp. 69-74, 2010, IEEE Computer Society, 978-0-7695-3928-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Digital Microfluidic Biochips, Pin-Constrained Design, Synchronization |
31 | Peipei Shi, Bo Zhu, Amr M. Youssef |
A PIN Entry Scheme Resistant to Recording-Based Shoulder-Surfing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SECURWARE ![In: The Third International Conference on Emerging Security Information, Systems and Technologies, SECURWARE 2009, 18-23 June 2009, Athens/Glyfada, Greece, pp. 237-241, 2009, IEEE Computer Society, 978-0-7695-3668-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Secure PIN entry, shoulder surfing |
31 | I-Horng Jeng, Dwen-Ren Tsai, Hou-An Chen, Yi-Chun Yen, Chien-Kang Cheng |
Touch-sensitive Alphanumeric Encrypting PIN Pad Design Based on Hamilton-connected Subgraph Recognition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IIH-MSP ![In: Fifth International Conference on Intelligent Information Hiding and Multimedia Signal Processing (IIH-MSP 2009), Kyoto, Japan, 12-14 September, 2009, Proceedings, pp. 258-261, 2009, IEEE Computer Society, 978-1-4244-4717-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Touch-sensitive, encrypting PIN pad, personal identification number, Hamilton-connected Subgraph |
31 | Mark A. Franklin, Donald F. Wann, William J. Thomas |
Pin Limitations and Partitioning of VLSI Interconnection Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 31(11), pp. 1109-1116, 1982. The full citation details ...](Pics/full.jpeg) |
1982 |
DBLP DOI BibTeX RDF |
Banyan, pin limitations, synchronization, interconnection networks, multiprocessors, crossbar |
30 | Muhammet Mustafa Ozdal, Martin D. F. Wong, Philip S. Honsinger |
Optimal routing algorithms for rectilinear pin clusters in high-density multichip modules. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 13(4), pp. 68:1-68:20, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
multi-chip modules, network flow, Escape routing |
30 | Geeng-Wei Lee, Juinn-Dar Huang, Chun-Yao Wang, Jing-Yang Jou |
Verification of Pin-Accurate Port Connections. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 25(5), pp. 478-486, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
30 | Ang Jin, Jianhui Jiang, Jiawei Hu, Jungang Lou |
A PIN-Based Dynamic Software Fault Injection System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICYCS ![In: Proceedings of the 9th International Conference for Young Computer Scientists, ICYCS 2008, Zhang Jia Jie, Hunan, China, November 18-21, 2008, pp. 2160-2167, 2008, IEEE Computer Society, 978-0-7695-3398-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
30 | Tao Xu 0002, William L. Hwang, Fei Su, Krishnendu Chakrabarty |
Automated design of pin-constrained digital microfluidic biochips under droplet-interference constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM J. Emerg. Technol. Comput. Syst. ![In: ACM J. Emerg. Technol. Comput. Syst. 3(3), pp. 14, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Physical design automation, droplets, microfluidics, biochips |
30 | Tao Xu 0002, Krishnendu Chakrabarty |
A cross-referencing-based droplet manipulation method for high-throughput and pin-constrained digital microfluidic arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 552-557, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
30 | Fan Mo, Robert K. Brayton |
A simultaneous bus orientation and bused pin flipping algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2007 International Conference on Computer-Aided Design, ICCAD 2007, San Jose, CA, USA, November 5-8, 2007, pp. 386-389, 2007, IEEE Computer Society, 1-4244-1382-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
30 | Yuzheng Ding, Peter Suaris, Nan-Chi Chou |
The effect of post-layout pin permutation on timing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 13th International Symposium on Field Programmable Gate Arrays, FPGA 2005, Monterey, California, USA, February 20-22, 2005, pp. 41-50, 2005, ACM, 1-59593-029-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
FPGA, placement, logic synthesis, timing optimization |
30 | Jay Jahangiri, Nilanjan Mukherjee 0001, Wu-Tung Cheng, Subramanian Mahadevan, Ron Press |
Achieving High Test Quality with Reduced Pin Count Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 14th Asian Test Symposium (ATS 2005), 18-21 December 2005, Calcutta, India, pp. 312-317, 2005, IEEE Computer Society, 0-7695-2481-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
30 | Daniel Cvrcek, Jan Krhovjak, Vashek Matyas |
PIN (and Chip) or Signature: Beating the Cheating? ![Search on Bibsonomy](Pics/bibsonomy.png) |
Security Protocols Workshop ![In: Security Protocols, 13th International Workshop, Cambridge, UK, April 20-22, 2005, Revised Selected Papers, pp. 69-75, 2005, Springer, 978-3-540-77155-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
30 | Vashek Matyas |
PIN (and Chip) or Signature: Beating the Cheating? ![Search on Bibsonomy](Pics/bibsonomy.png) |
Security Protocols Workshop ![In: Security Protocols, 13th International Workshop, Cambridge, UK, April 20-22, 2005, Revised Selected Papers, pp. 76-81, 2005, Springer, 978-3-540-77155-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
30 | Yaniv Shaked, Avishai Wool |
Cracking the Bluetooth PIN. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MobiSys ![In: Proceedings of the 3rd International Conference on Mobile Systems, Applications, and Services, MobiSys 2005, Seattle, Washington, USA, June 6-8, 2005, pp. 39-50, 2005, ACM, 1-931971-31-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
30 | Jacob R. Minz, Mohit Pathak, Sung Kyu Lim |
Net and Pin Distribution for 3D Package Global Routing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 1410-1411, 2004, IEEE Computer Society, 0-7695-2085-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
30 | Dong Xiang, Hideo Fujiwara |
Handling the pin overhead problem of DFTs for high-quality and at-speed tests. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 21(9), pp. 1105-1113, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
30 | Kristof Van Laerhoven, Albrecht Schmidt 0001, Hans-Werner Gellersen |
Pin&Play: Networking Objects through Pins. ![Search on Bibsonomy](Pics/bibsonomy.png) |
UbiComp ![In: UbiComp 2002: Ubiquitous Computing, 4th International Conference, Göteborg, Sweden, September 29 - October 1, 2002, Proceedings, pp. 219-228, 2002, Springer, 3-540-44267-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
30 | Tianpei Zhang, Sachin S. Sapatnekar |
Optimized pin assignment for lower routing congestion after floorplanning phase. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SLIP ![In: The Fourth IEEE/ACM International Workshop on System-Level Interconnect Prediction (SLIP 2002), April 6-7, 2002, San Diego, California, USA, Proceedings, pp. 17-21, 2002, ACM. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
30 | Y. Cheng, Krzysztof Czuba, G. Kompa |
K-band phase locked hair-pin oscillator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (4) ![In: Proceedings of the 2002 International Symposium on Circuits and Systems, ISCAS 2002, Scottsdale, Arizona, USA, May 26-29, 2002, pp. 381-384, 2002, IEEE, 0-7803-7448-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
30 | Sara Blanc, Joaquin Gracia, Pedro J. Gil |
A Fault Hypothesis Study on the TTP/C Using VHDL-Based and Pin-Level Fault Injection Techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 17th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2002), 6-8 November 2002, Vancouver, BC, Canada, Proceedings, pp. 254-262, 2002, IEEE Computer Society, 0-7695-1831-1. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
30 | Rodger Schuttert, Frans G. M. de Jong, Ben Kup |
Improved Test Monitor Circuit in Power Pin DfT. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 20th IEEE VLSI Test Symposium (VTS 2002), Without Testing It's a Gamble, 28 April - 2 May 2002, Monterey, CA, USA, pp. 345-350, 2002, IEEE Computer Society, 0-7695-1570-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
30 | Shuenn-Shi Chen, Jong-Jang Chen, Sao-Jie Chen, Chia-Chun Tsai |
An Automatic Router for the Pin Grid Array Package. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 1999 Conference on Asia South Pacific Design Automation, Wanchai, Hong Kong, China, January 18-21, 1999, pp. 133-136, 1999, IEEE Computer Society, 0-7803-5012-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
30 | Robert H. Caverly, N. Quinn |
A SPICE model for simulating the impedance-frequency characteristics of high frequency PIN switching diodes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (6) ![In: Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30 - June 2, 1999, pp. 282-285, 1999, IEEE, 0-7803-5471-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 6477 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|