The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for register with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1954-1962 (16) 1963-1968 (19) 1969-1972 (17) 1973-1974 (19) 1975-1976 (22) 1977-1978 (30) 1979-1980 (24) 1981-1982 (24) 1983-1984 (34) 1985 (21) 1986 (28) 1987 (36) 1988 (44) 1989 (58) 1990 (81) 1991 (58) 1992 (73) 1993 (60) 1994 (78) 1995 (108) 1996 (114) 1997 (133) 1998 (144) 1999 (149) 2000 (181) 2001 (202) 2002 (220) 2003 (287) 2004 (324) 2005 (353) 2006 (361) 2007 (411) 2008 (339) 2009 (240) 2010 (99) 2011 (120) 2012 (97) 2013 (80) 2014 (84) 2015 (101) 2016 (109) 2017 (96) 2018 (87) 2019 (99) 2020 (93) 2021 (108) 2022 (108) 2023 (76) 2024 (12)
Publication types (Num. hits)
article(1717) book(2) incollection(18) inproceedings(3892) phdthesis(48)
Venues (Conferences, Journals, ...)
IEEE Trans. Comput. Aided Des....(167) IEEE Trans. Computers(128) DAC(116) CoRR(112) MICRO(112) IEEE Trans. Very Large Scale I...(96) DATE(90) ISCA(67) J. Electron. Test.(67) PLDI(66) VLSI Design(63) ISCAS(60) ICCAD(55) CC(52) ICCD(50) ASP-DAC(49) More (+10 of total 1318)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4401 occurrences of 2030 keywords

Results
Found 5686 publication records. Showing 5677 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
118Jinpyo Park, Je-Hyung Lee, Soo-Mook Moon Register Allocation for Banked Register File. Search on Bibsonomy LCTES/OM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF banked register file, register allocation
109Marc Tremblay, Bill Joy 0001, Ken Shin A three dimensional register file for superscalar processors. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF three dimensional register file, datapath component, three-scalar machine, 3D Register File, multiple planes, extra register sets, microtask switching, data array, ported register file, flat register file, bus lines, large buffer, simulations, performance evaluation, data structures, memory architecture, superscalar processors, file organisation, registers, access time, microcomputers, cycle time, real time tasks, superscalar microprocessor, superscalar microprocessors, register windows
108Yoonseo Choi, Hwansoo Han Optimal register reassignment for register stack overflow minimization. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF register stack, sequence graph, register allocation, Register assignment
102Jesús Alastruey, Teresa Monreal, Víctor Viñals, Mateo Valero Speculative early register release. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF physical register release, optimization, register file, register renaming
92André Seznec, Eric Toullec, Olivier Rochecouste Register write specialization register read specialization: a path to complexity-effective wide-issue superscalar processors. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
89Minwook Ahn, Yunheung Paek Register coalescing techniques for heterogeneous register architecture with copy sifting. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF heterogeneous register architecture, compiler, Register allocation, embedded processors, register coalescing
83Nan Wu 0003, Mei Wen, Ju Ren 0002, Yi He 0008, Chunyuan Zhang Register Allocation on Stream Processor with Local Register File. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF local register file, spilling, register allocation, VLIW, stream processor
82G. X. Tyson, M. Smelyanskyi, Edward S. Davidson Evaluating the Use of Register Queues in Software Pipelined Loops. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF modulo variable expansion, rotating register file, register queues, register connection, Software pipelining, VLIW
82Liu Yang, Sun Chan, Guang R. Gao, Roy Ju, Guei-Yuan Lueh, Zhaoqing Zhang Inter-procedural stacked register allocation for itanium® like architecture. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF hot region, inter-procedural stacked register allocation, quota assignment, register allocation, hotspot
81Robert Yung, Neil C. Wilhelm Caching processor general registers. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF caching processor general registers, processor cycle time requirements, small register cache, register caching, windowed-register architectures, parallel architectures, performance model, memory architecture, cache storage, register file
80Rama Sangireddy Register Organization for Enhanced On-Chip Parallelism. Search on Bibsonomy ASAP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
77Minwook Ahn, Jooyeon Lee, Yunheung Paek Optimistic coalescing for heterogeneous register architectures. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF heterogeneous register architecture, register coalesing, compiler, register allocation, embedded processors
76Guei-Yuan Lueh, Thomas R. Gross, Ali-Reza Adl-Tabatabai Fusion-based register allocation. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF performance evaluation, register allocation
75Eduardo Quiñones, Joan-Manuel Parcerisa, Antonio González 0001 Early Register Release for Out-of-Order Processors with RegisterWindows. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
75Mikko H. Lipasti, Brian R. Mestan, Erika Gunadi Physical Register Inlining. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
72Oguz Ergin, Deniz Balkan, Kanad Ghose, Dmitry V. Ponomarev Register Packing: Exploiting Narrow-Width Operands for Reducing Register File Pressure. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
72Jun Yan 0008, Wei Zhang 0002 Compiler-guided register reliability improvement against soft errors. Search on Bibsonomy EMSOFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF register lifetime, reliability, soft errors, register file
72José-Lorenzo Cruz, Antonio González 0001, Mateo Valero, Nigel P. Topham Multiple-banked register file architectures. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF bypass logic, register file architecture, register file cache, dynamically-scheduled processor
70Masaaki Kondo, Hiroshi Nakamura A Small, Fast and Low-Power Register File by Bit-Partitioning. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
68Oguz Ergin, Deniz Balkan, Dmitry Ponomarev 0001, Kanad Ghose Early Register Deallocation Mechanisms Using Checkpointed Register Files. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF register file optimization, Superscalar processors, precise interrupts
68Chang-Chung Liu, R.-Ming Shiu, Chung-Ping Chung Register renaming for x86 superscalar design. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Intel x86 superscalar design, storage conflicts, data lengths, register write, register read, hardware renaming schemes, aggressive superscalar machine model, parallel architectures, instruction level parallelism, simulation results, microprocessor chips, register renaming
67Liem Tran, Nicholas Nelson 0001, Fung Ngai, Steve Dropsho, Michael C. Huang 0001 Dynamically reducing pressure on the physical register file through simple register sharing. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
67Ivan D. Baev, Richard E. Hank, David H. Gross Prematerialization: reducing register pressure for free. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF rematerialization, register allocation, VLIW, Itanium, register pressure
67Sid Ahmed Ali Touati Register Saturation in Instruction Level Parallelism. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Register requirement, instruction level parallelism, integer linear programming, optimizing compilation, register pressure
67Xiaotong Zhuang, Santosh Pande Differential register allocation. Search on Bibsonomy PLDI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF architected register, differential dncoding, register allocation
67Scott Rixner, William J. Dally, Brucek Khailany, Peter R. Mattson, Ujval J. Kapasi, John D. Owens Register Organization for Media Processing. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF register organization, register architecture, processor architecture, media processors
66Jason Cong, Yiping Fan, Junjuan Xu Simultaneous resource binding and interconnection optimization based on a distributed register-file microarchitecture. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF distributed register file, Behavioral synthesis, resource binding
66Montserrat Ros, Peter Sutton A post-compilation register reassignment technique for improving hamming distance code compression. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF register reassignment, hamming distance, code compression
66Christian Wimmer, Hanspeter Mössenböck Optimized interval splitting in a linear scan register allocator. Search on Bibsonomy VEE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF linear scan, java, optimization, compilers, graph-coloring, register allocation, just-in-time compilation
66Michael D. Smith 0001, Norman Ramsey, Glenn H. Holloway A generalized algorithm for graph-coloring register allocation. Search on Bibsonomy PLDI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF graph coloring, register allocation
65Xuan Guan, Yunsi Fei Reducing power consumption of embedded processors through register file partitioning and compiler support. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
65Oguz Ergin, Deniz Balkan, Dmitry V. Ponomarev, Kanad Ghose Increasing Processor Performance Through Early Register Release. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
65Ulrich Hirnschrott, Andreas Krall, Bernhard Scholz Graph Coloring vs. Optimal Register Allocation for Optimizing Compilers. Search on Bibsonomy JMLC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
65Xianglong Huang, Steve Carr 0001, Philip H. Sweany Loop Transformations for Architectures with Partitioned Register Banks. Search on Bibsonomy LCTES/OM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
64Nicola Zingirian, Massimo Maresca Selective Register Renaming: A Compiler-Driven Approach to Dynamic Register Renaming. Search on Bibsonomy HPCN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Dynamic Register Renaming, Instruction Level Parallelism, Register Allocation, Loop Parallelization
62David W. Wall Register Windows versus Register Allocation. Search on Bibsonomy PLDI The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
62David W. Wall Register windows vs. register allocation (with retrospective) Search on Bibsonomy Best of PLDI The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
62Jer-Yu Hsu, Yan-Zu Wu, Xuan-Yi Lin, Yeh-Ching Chung SCRF - A Hybrid Register File Architecture. Search on Bibsonomy PaCT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cluster processor architecture, register architecture, register allocation algorithm, VLIW processor
62Javier Zalamea, Josep Llosa, Eduard Ayguadé, Mateo Valero Software and Hardware Techniques to Optimize Register File Utilization in VLIW Architectures. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF register requirements, register file organization, clustered organization, Modulo scheduling, spill code
62Manoj Kumar Jain, M. Balakrishnan, Anshul Kumar An efficient technique for exploring register file size in ASIP synthesis. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF ASIP Synthesis, retargetable estimation, storage exploration, design space exploration, instruction scheduling, register file, global analysis, register spill, liveness analysis
62Nicola Zingirian, Massimo Maresca Run-Time Support to Register Allocation for Loop Parallelization of Image Processing Programs. Search on Bibsonomy HPCN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Dynamic Register Renaming, Image Processing, Instruction Level Parallelism, Register Allocation, Loop Parallelization
61Mounira Bachir, Sid Ahmed Ali Touati, Albert Cohen 0001 Post-pass periodic register allocation to minimise loop unrolling degree. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF embedded code optimisation, periodic register allocation, software pipelining, loop unrolling
61Peter Koepke, Russell G. Miller An Enhanced Theory of Infinite Time Register Machines. Search on Bibsonomy CiE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ordinal computability, hypercomputation, infinitary computation, register machine
61Jason Cong, Yiping Fan, Wei Jiang Platform-based resource binding using a distributed register-file microarchitecture. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF distributed register file, behavior synthesis, resource binding
61Xiaotong Zhuang, Santosh Pande Balancing register allocation across threads for a multithreaded network processor. Search on Bibsonomy PLDI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF register allocation, network processor, multithreaded processor
61Akira Koseki, Hideaki Komatsu, Toshio Nakatani Preference-Directed Graph Coloring. Search on Bibsonomy PLDI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF irregular-register architectures, graph coloring, register allocation, register coalescing
60V. Krishna Nandivada, Fernando Magno Quintão Pereira, Jens Palsberg A Framework for End-to-End Verification and Evaluation of Register Allocators. Search on Bibsonomy SAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
60Raid Ayoub, Alex Orailoglu Power efficient register file update approach for embedded processors. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
60Rakesh Nalluri, Rohan Garg 0003, Preeti Ranjan Panda Customization of Register File Banking Architecture for Low Power. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
60Rama Sangireddy Instruction Format Based Selective Execution for Register Port Complexity Reduction in High-Performance Processors. Search on Bibsonomy ITNG The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
60Rama Sangireddy, Arun K. Somani Exploiting Quiescent States in Register Lifetime. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
60Xiaotong Zhuang, Santosh Pande Resolving Register Bank Conflicts for a Network Processor. Search on Bibsonomy IEEE PACT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
60Sriraman Tallam, Rajiv Gupta 0001 Bitwidth aware global register allocation. Search on Bibsonomy POPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF minimal bitwidth, packing interfering nodes, subword data, embedded applications
60Sid Ahmed Ali Touati, Christine Eisenbeis Early Control of Register Pressure for Software Pipelined Loops. Search on Bibsonomy CC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
58Joseph J. Sharkey, Jason Loew, Dmitry V. Ponomarev Reducing register pressure in SMT processors through L2-miss-driven early register release. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF register file, Simultaneous multithreading
58Ramaswamy Govindarajan, Hongbo Yang, José Nelson Amaral, Chihong Zhang, Guang R. Gao Minimum Register Instruction Sequencing to Reduce Register Spills in Out-of-Order Issue Superscalar Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF code sequence optimization, code generation, Compiler optimization, instruction level parallelism, register allocation, instruction scheduling, superscalar architectures
58Akira Koseki, Yoshiaki Fukazawa, Hideaki Komatsu A Register Allocation Technique Using Register Existence Graph. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Instruction-Level Parallelism, Register Allocation, Program Dependence Graph, Code Scheduling
58Guido Araujo, Sharad Malik Optimal code generation for embedded memory non-homogeneous register architectures. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF TMS320C25 processor, [1, /spl infin/] model, embedded memory nonhomogeneous register architectures, expression trees, optimal code generation, register transfer graph, scheduling, computational complexity, graph theory, optimisation, computer architecture, register allocation, processor scheduling, storage allocation, microprocessor chips, instruction sets, instruction set architecture, sufficient conditions, instruction selection, structural representation
57Jason Hiser, Steve Carr 0001, Philip H. Sweany, Steven J. Beaty Register Assignment for Software Pipelining with Partitioned Register Banks. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
57Miquel Huguet, Tomás Lang Architectural Support for Reduced Register Saving / Restoring in Single-Window Register Files. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
57Suhyun Kim, Soo-Mook Moon Rotating register allocation with multiple rotating branches. Search on Bibsonomy ICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF rotating register, register allocation, software pipelining
57Byung-Sun Yang, Junpyo Lee, SeungIl Lee, Seongbae Park, Yoo C. Chung, Suhyun Kim, Kemal Ebcioglu, Erik R. Altman, Soo-Mook Moon Efficient Register Mapping and Allocation in LaTTe, an Open-Source Java Just-in-Time Compiler. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF register mapping, copy coalescing, Java virtual machine, register allocation, just-in-time compilation
57Hui Zeng, Kanad Ghose Register file caching for energy efficiency. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF register caching, energy-efficiency, register files
56Hui Zeng, Ju-Young Jung, Kanad Ghose, Dmitry Ponomarev 0001 Energy-efficient renaming with register versioning. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF microprocessor, register renaming
56Chung-Kai Chen, Ling-Hua Tseng, Shih-Chang Chen, Young-Jia Lin, Yi-Ping You, Chia-Han Lu, Jenq Kuen Lee Enabling compiler flow for embedded VLIW DSP processors with distributed register files. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF distributed register files, embedded VLIW DSP compilers, software pipelining
56Rajiv A. Ravindran, Robert M. Senger, Eric D. Marsman, Ganesh S. Dasika, Matthew R. Guthaus, Scott A. Mahlke, Richard B. Brown Increasing the number of effective registers in a low-power processor using a windowed register file. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF window assignment, low-power, graph partitioning, embedded processor, instruction encoding, register window
56Javier Zalamea, Josep Llosa, Eduard Ayguadé, Mateo Valero MIRS: Modulo Scheduling with Integrated Register Spilling. Search on Bibsonomy LCPC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Instruction-Level Parallelism, Register Allocation, Software Pipelining, Spill Code
56Jack L. Lo, Sujay S. Parekh, Susan J. Eggers, Henry M. Levy, Dean M. Tullsen Software-Directed Register Deallocation for Simultaneous Multithreaded Processors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF architecture, register file, simultaneous multithreading, Multithreaded architecture
56Srinivas Katkoori, Ranga Vemuri, Jay Roy A Hierarchical Register Optimization Algorithm for Behavioral Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Register Optimization, High Level Synthesis, Life-cycle Analysis
56Mahdi Fazeli, Seyed Nematollah Ahmadian, Seyed Ghassem Miremadi A Low Energy Soft Error-Tolerant Register File Architecture for Embedded Processors. Search on Bibsonomy HASE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
56Shuai Wang 0006, Hongyan Yang, Jie S. Hu, Sotirios G. Ziavras Asymmetrically Banked Value-Aware Register Files. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
56JongSoo Park, Sung-Boem Park, James D. Balfour, David Black-Schaffer, Christos Kozyrakis, William J. Dally Register pointer architecture for efficient embedded processors. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
56Soontae Kim Reducing ALU and Register File Energy by Dynamic Zero Detection. Search on Bibsonomy IPCCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
56Rahul Nagpal, Y. N. Srikant Register File Energy Optimization for Snooping Based Clustered VLIW Architectures. Search on Bibsonomy SBAC-PAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
56Deniz Balkan, Joseph J. Sharkey, Dmitry Ponomarev 0001, Aneesh Aggarwal Address-Value Decoupling for Early Register Deallocation. Search on Bibsonomy ICPP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
56Sid Ahmed Ali Touati On the Optimality of Register Saturation. Search on Bibsonomy ICPP Workshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
56Bengu Li, Youtao Zhang, Rajiv Gupta 0001 Speculative Subword Register Allocation in Embedded Processors. Search on Bibsonomy LCPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
56J. Adam Butts, Gurindar S. Sohi Use-Based Register Caching with Decoupled Indexing. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
56Il Park 0001, Michael D. Powell, T. N. Vijaykumar Reducing register ports for higher speed and lower energy. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
56R. David Weldon, Steven S. Chang, Hong Wang 0003, Gerolf Hoflehner, Perry H. Wang, Daniel M. Lavery, John Paul Shen Quantitative Evaluation of the Register Stack Engine and Optimizations for Future Itanium Processors. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
56C. P. Ravikumar, R. Aggarwal, C. Sharma A Graph-Theoretic Approach for Register File Based Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
55Yunhe Shi, Kevin Casey, M. Anton Ertl, David Gregg Virtual machine showdown: Stack versus registers. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF register architecture, stack architecture, virtual machine, Interpreter
53Thomas Scholz, Michael Schäfers 0003 An improved dynamic register array concept for high-performance RISC processors. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF dynamic register array concept, high-performance RISC processors, processor registers, Multi Windows, Threaded Windows, dynamic register array, dynamic register allocation, general purpose registers, fast context switches, short interrupt latency, exception routines, real time systems, data structures, data structures, interrupts, storage allocation, external memory, registers, reduced instruction set computing
52Jie S. Hu, Shuai Wang 0006, Sotirios G. Ziavras In-Register Duplication: Exploiting Narrow-Width Value for Improving Register File Reliability. Search on Bibsonomy DSN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
52Feng Zhou, Junchao Zhang, Chengyong Wu, Zhaoqing Zhang A Register Allocation Framework for Banked Register Files with Access Constraints. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
52Matthias Müller 0002, Andreas Wortmann 0002, Dominik Mader, Sven Simon 0001 Register Isolation for Synthesizable Register Files. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
52Fernando Magno Quintão Pereira, Jens Palsberg Register allocation by puzzle solving. Search on Bibsonomy PLDI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF puzzle solving, register aliasing, register allocation
52Florent Bouchez, Alain Darte, Fabrice Rastello Advanced conservative and optimistic register coalescing. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF coloring number, greedy-k-colorable graph, register allocation, chordal graph, register coalescing
52Sid Ahmed Ali Touati On the Periodic Register Need in Software Pipelining. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Periodic Register Requirement, MAXLIVE, Periodic Register Sufficiency, Stage Scheduling, Instruction Level Parallelism, Software Pipelining
52Jian Wang 0046, Andreas Krall, M. Anton Ertl, Christine Eisenbeis Software pipelining with register allocation and spilling. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF instruction-level parallelism, register allocation, software pipelining, loop scheduling, register spilling
52Minwook Ahn, Yunheung Paek Fast Code Generation for Embedded Processors with Aliased Heterogeneous Registers. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF heterogeneous register architecture, register aliasing, compiler, code generation, register allocation, register coalescing
51Christian Wimmer, Michael Franz Linear scan register allocation on SSA form. Search on Bibsonomy CGO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF SSA form deconstruction, lifetime analysis, linear scan, Java, register allocation, just-in-time compilation, SSA form
51Bjorn De Sutter, Paul Coene, Tom Vander Aa, Bingfeng Mei Placement-and-routing-based register allocation for coarse-grained reconfigurable arrays. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF register allocation, placement and routing, coarse-grained, reconfigurable arrays
51Weifeng Xu, Russell Tessier Tetris: a new register pressure control technique for VLIW processors. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF register pressure control, very long instruction word (VLIW) processor, instruction level parallelism
51Sanghyun Park, Aviral Shrivastava, Nikil D. Dutt, Alexandru Nicolau, Yunheung Paek, Eugene Earlie Bypass aware instruction scheduling for register file power reduction. Search on Bibsonomy LCTES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF architecture-sensitive compiler, bypass-sensitive, forwarding paths, operation table, processor bypasses, reservation table, power consumption, register file
51Wann-Yun Shieh, Hsin-Dar Chen Saving Register-File Leakage Power by Monitoring Instruction Sequence in ROB. Search on Bibsonomy EUC Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF register leakage power, high-end embedded processor, dynamic voltage scaling (DVS), reorder buffer
51Rajiv A. Ravindran, Robert M. Senger, Eric D. Marsman, Ganesh S. Dasika, Matthew R. Guthaus, Scott A. Mahlke, Richard B. Brown Partitioning Variables across Register Windows to Reduce Spill Code in a Low-Power Processor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF optimization, Code generation, low-power design, graph partitioning, embedded processor, retargetable compilers, spill code, instruction encoding, register window
51Ranga Vemuri, Srinivas Katkoori, Meenakshi Kaul, Jay Roy An efficient register optimization algorithm for high-level synthesis from hierarchical behavioral specifications. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF lifecycle analysis, register optimization, high-level synthesis, hardware description languages, Behavioral synthesis, hierarchical specifications
51Bernhard Scholz, Erik Eckstein Register allocation for irregular architectures. Search on Bibsonomy LCTES-SCOPES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF boolean quadratic problem, register allocation
51Jun Yan 0008, Wei Zhang 0002 Exploiting virtual registers to reduce pressure on real registers. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF short-lived variables, virtual register, register allocation, Register file, data forwarding
Displaying result #1 - #100 of 5677 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license