The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for serializer with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1977-2006 (16) 2007-2010 (16) 2011-2018 (15) 2019-2022 (10)
Publication types (Num. hits)
article(13) inproceedings(44)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 23 occurrences of 22 keywords

Results
Found 57 publication records. Showing 57 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
115Hyun-Joo Kim, Jung-Guk Kim, Chun-Hyon Chang, Sunyoung Han, Shin Hue An Efficient Task Serializer for Hard Real-Time TMO Systems. Search on Bibsonomy ISORC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Task Serializer, TMO, Hard Real-time Systems
66Krzysztof Iniewski, Marek Syrzycki Low Power 2.5 Gb/s Serializer for SOC Applications. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
57Russell R. Atkinson, Carl Hewitt Parallelism and Synchronization in Actor Systems. Search on Bibsonomy POPL The full citation details ... 1977 DBLP  DOI  BibTeX  RDF
50Rashed Zafar Bhatti, Monty Denneau, Jeff Draper 2 Gbps SerDes design based on IBM Cu-11 (130nm) standard cell technology. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF CDR, CML driver, LVDS, SerDes, duty cycle correction (DCC), jitter and skew compensation, standard cell based serializer and deserializer circuits for high speed signaling, PLL, DLL, phase detection
47Hari Vijay Venkatanarayanan, Michael L. Bushnell A Jitter Reduction Circuit Using Autocorrelation for Phase-Locked Loops and Serializer-Deserializer (SERDES) Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
47Mattia Monga, Angelo Scotto A generic serializer for mobile devices. Search on Bibsonomy SAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF mobile devices, NET, serialization
47Magnus Eckersand, Fredrik Franzon, Ken Filliter Using At-Speed BIST to Test LVDS Serializer/Deserializer Function. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF LVDS, BIST, differential, At-speed
38Yu-Hao Hsu, Min-Sheng Kao, Hou-Cheng Tzeng, Ching-Te Chiu, Jen-Ming Wu, Shuo-Hung Hsu A 20 Gbps Scalable Load Balanced Birkhoff-von Neumann Symmetric TDM Switch IC with SERDES Interfaces. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Birkhoff-von Neumann symmetric TDM switch IC, SERDES interfaces, load-balanced TDM switch IC, digital TDM switch, 8B10B CODEC, analog SERDES I/O interfaces, dual-mode SERDES, half-rate architectures, all static CMOS gates, wide-band CML buffer, PMOS active load scheme, 20 Gbit/s, high speed networking, CMOS technology, low power consumption, 0.18 micron
38Ching-Te Chiu, Yu-Hao Hsu, Min-Sheng Kao, Hou-Cheng Tzeng, Ming-Chang Du, Ping-Ling Yang, Ming-Hao Lu, Fanta Chen, Hung-Yu Lin, Jen-Ming Wu, Shuo-Hung Hsu, Yarsun Hsu A Scalable Load Balanced Birkhoff-von Neumann Symmetric TDM Switch IC for High-Speed Networking Applications. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Rostislav (Reuven) Dobkin, Ran Ginosar, Avinoam Kolodny Fast Asynchronous Shift Register for Bit-Serial Communication. Search on Bibsonomy ASYNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Matthew D. Allen, Srinath Sridharan, Gurindar S. Sohi Serialization sets: a dynamic dependence-based parallel execution model. Search on Bibsonomy PPoPP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF serialization sets, parallel computing, runtime system, serializer
28Mithilesh Kumar 0008, Alak Majumder, Abir J. Mondal, Arijit Raychowdhury, Bidyut K. Bhattacharyya A low power and PVT variation tolerant mux-latch for serializer interface and on-chip serial link. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
28Yuki Hironaka, Taiki Yamae, Christopher L. Ayala, Nobuyuki Yoshikawa, Naoki Takeuchi Low-Latency Adiabatic Quantum-Flux-Parametron Circuit Integrated With a Hybrid Serializer/Deserializer. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
28Yunqi Yang, Ming Zhong, Qianli Ma, Ziyi Lin, Leliang Li, Guike Li, Liyuan Liu, Jian Liu 0021, Nanjian Wu, Haikun Jia, Xinghui Liu, Nan Qi A 56Gb/s De-serializer with PAM-4 CDR for Chiplet Optical-I/O. Search on Bibsonomy ICTA The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
28Puneet Singh, Mayank Kumar Singh, Vinayak Gopal Hande, Mahendra Sakare Design of a PRBS generator and a serializer using active inductor employed CML latch. Search on Bibsonomy MWSCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
28Ming Zhong, Qingwen Wang, Yong Chen 0005, Jian Liu 0021, Liyuan Liu, Xinghua Wang, Xiaoming Xiong, Nan Qi A 4×25-Gb/s Serializer with Integrated CDR and 3-Tap FFE Driver for NIC Optical Interconnects. Search on Bibsonomy ICTA The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
28Mingyang You, Minjia Chen, Yihong Li, Guike Li, Jian Liu 0021, Yong Chen 0005, Yingtao Li, Nan Qi A 4×25Gb/s De-Serializer with Baud-Rate Sampling CDR and Standing-Wave Clock Distribution for NIC Optical Interconnects. Search on Bibsonomy ICTA The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
28Utkarsh Upadhyaya, Souradip Sen, Sandeep Goyal, Shalabh Gupta A 16 Gbps 10: 1 Serializer with Active Inductor Based CTLE for High Frequency Boosting. Search on Bibsonomy ICECS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
28Woosong Jung, Jinhyung Lee, Kwangho Lee, Hyojun Kim, Deog-Kyoon Jeong A 8.4Gb/s Low Power Transmitter with 1.66 pJ/b using 40: 1 Serializer for DisplayPort Interface. Search on Bibsonomy ISOCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
28Alak Majumder, Monalisa Das, Suraj Kumar Saw, Abir J. Mondal, Bidyut K. Bhattacharyya Variation Aware Design of 50-Gbit/s, 5.027-fJ/bit Serializer Using Latency Combined Mux-Dual Latch for Inter-Chip Communication. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
28Yong-Un Jeong, Joo-Hyung Chae, Sungphil Choi, Jaekwang Yun, Shin-Hyun Jeong, Suhwan Kim A Low-Power and Low-Noise 20: 1 Serializer with Two Calibration Loops in 55-nm CMOS. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
28Jihwan Park, Joo-Hyung Chae, Yong-Un Jeong, Jae-Whan Lee, Suhwan Kim A 2.1-Gb/s 12-Channel Transmitter With Phase Emphasis Embedded Serializer for 55-in UHD Intra-Panel Interface. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
28Monalisa Das, Alak Majumder, Abir J. Mondal, Bidyut K. Bhattacharyya A 90nm Novel MUX-Dual Latch Design Approach for Gigascale Serializer Application. Search on Bibsonomy iNIS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
28Jihwan Park, Joo-Hyung Chae, Yong-Un Jeong, Jae-Whan Lee, Suhwan Kim A 2.1Gbps 12-channel transmitter with phase emphasis embedded serializer for UHD intra-panel interface. Search on Bibsonomy A-SSCC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
28Ryan Clarke, Mitchell R. LeRoy, Srikumar Raman, Tuhin Guha Neogi, Russell P. Kraft, John F. McDonald 0001 140 Gb/s Serializer Using Clock Doublers in 90 nm SiGe Technology. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
28Giovanni Rovere, Chiara Bartolozzi, Nabil Imam, Rajit Manohar Design of a QDI asynchronous AER serializer/deserializer link in 180nm for event-based sensors for robotic applications. Search on Bibsonomy ISCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
28Chang-Chun Zhang, Long Miao, Kui-ying Yin, Yu-feng Guo, Lei-Lei Liu Design of a Compact Double-Channel 5-Gb/s/ch Serializer Array for High-Speed Parallel Links. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
28Martin Dias, Mariano Martinez Peck, Stéphane Ducasse, Gabriela Arévalo Fuel: a fast general purpose object graph serializer. Search on Bibsonomy Softw. Pract. Exp. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
28Oliver Schrape, Markus Appel, Frank Winkler 0001, Milos Krstic A 12 Gb/s standard cell based ECL 4: 1 serializer with asynchronous parallel interface. Search on Bibsonomy ICECS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
28Kejun Wu, Peng Liu 0016, Qiaoyan Yu A novel energy-efficient serializer design method for gigascale systems. Search on Bibsonomy ISCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
28Kang-Yeob Park, Woo-Young Choi, Seon-Young Lee, Wonseok Oh 0003 A 6.24-Gb/s wide-input-range serializer ASIC using fixed-data-rate scheme. Search on Bibsonomy ISCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
28Wei-Yu Tsai, Ching-Te Chiu, Jen-Ming Wu, Shawn S. H. Hsu, Yarsun Hsu, Ying-Fang Tsao A novel low gate-count serializer topology with Multiplexer-Flip-Flops. Search on Bibsonomy ISCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
28David C. Keezer, Te-Hui Chen, Carl Edward Gray, Hyun Woo Choi, Sungyeol Kim, Seongkwan Lee, Hosun Yoo Multi-gigahertz arbitrary timing generator and data pattern serializer/formatter. Search on Bibsonomy ITC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
28Carlos Zamarreño-Ramos, Teresa Serrano-Gotarredona, Bernabé Linares-Barranco An Instant-Startup Jitter-Tolerant Manchester-Encoding Serializer/Deserializer Scheme for Event-Driven Bit-Serial LVDS Interchip AER Links. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
28Maher Assaad, Mohammed H. Alser An FPGA-based design and implementation of an all-digital serializer for inter module communication in SoC. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
28Amit Sanghani, Bo Yang, Karthikeyan Natarajan, Chunsheng Liu Design and implementation of a time-division multiplexing scan architecture using serializer and deserializer in GPU chips. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
28Jae-Hyuck Woo, Jae-Goo Lee, Young-Hyun Jun, Bai-Sun Kong Low-Power High-Speed Data Serializer for Mobile TFT-LCD Driver ICs. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
28Chih-Hsing Lin, Yung-Chang Chang, Wen-Chih Huang, Wei-Chih Lai, Ching-Te Chiu, Jen-Ming Wu, Shuo-Hung Hsu, Chun-Ming Huang, Chih-Chyau Yang, Shih-Lun Chen A packet-based emulating platform with serializer/deserializer interface for heterogeneous IP verification. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
28Sachin P. Chodnekar, Devendra Sutar, Gajanan S. Gawde Design of 32: 1 bit serializer working at 10GBPS. Search on Bibsonomy ICWET The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
28Eung-Ju Kim, Kwan-Jae Lee, Sukki Kim A high resolution Serializer and Deserializer architecture for mobile image sensor module. Search on Bibsonomy CCECE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
28Kouichi Kanda, Hirotaka Tamura, Takuji Yamamoto, Satoshi Matsubara, Masaya Kibune, Yoshiyasu Doi, Takayuki Shibasaki, Nestoras Tzartzanis, Anders Kristensson, Samir Parikh, Satoshi Ide, Yukito Tsunoda, Tetsuji Yamabana, Mariko Sugawara, Naoki Kuwata, Tadashi Ikeuchi, Junji Ogawa, William W. Walker A Single-40 Gb/s Dual-20 Gb/s Serializer IC With SFI-5.2 Interface in 65 nm CMOS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Kouichi Kanda, Hirotaka Tamura, Takuji Yamamoto, Satoshi Matsubara, Masaya Kibune, Yoshiyasu Doi, Takayuki Shibasaki, Nestoras Tzartzanis, Anders Kristensson, Samir Parikh, Satoshi Ide, Yukito Tsunoda, Tetsuji Yamabana, Mariko Sugawara, Naoki Kuwata, Tadashi Ikeuchi, Junji Ogawa, William W. Walker A single-40Gb/s dual-20Gb/s serializer IC with SFI-5.2 interface in 65nm CMOS. Search on Bibsonomy ISSCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Magnus Eckersand, Fredrik Franzon, Ken Filliter Using at-speed BIST to test LVDS serializer/deserializer function. Search on Bibsonomy ETW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Heiner Litz, Holger Fröning, Ulrich Brüning 0001 A HyperTransport 3 Physical Layer Interface for FPGAs. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Wesley Tansey, Eli Tilevich Efficient automated marshaling of C++ data structures for MPI applications. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Jae Y. Kim, Chih-Wei Yao, Alan N. Willson Jr. A programmable 25 MHz to 6 GHz rational-K/L frequency synthesizer with digital Kvco compensation. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Sounil Biswas, R. D. (Shawn) Blanton Test Compaction for Mixed-Signal Circuits Using Pass-Fail Test Data. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF pass-fail test data, boolean minimization, minimum constrained subset cover, Mixed-signal test, test compaction
19Woo-Cheol Kwon, Sungjoo Yoo, Sung-Min Hong, Byeong Min, Kyu-Myung Choi, Soo-Kwan Eo A practical approach of memory access parallelization to exploit multiple off-chip DDR memories. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallelization, memory, arbitration
19Fei Yuan, Minghai Li A new area-efficient 4-PAM 10 Gb/s CMOS serial link transmitter. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Tharaka Devadithya, Kenneth Chiu Poster reception - Fast binary serialization for grid systems with XBS. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Yongjian Tang, Lenian He, Xiaolang Yan A novel data processing circuit in high-speed serial communication. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Ming-Ta Hsieh, Gerald E. Sobelman Clock and data recovery with adaptive loop gain for spread spectrum SerDes applications. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Herwin Chan, Alireza Hodjat, Jun Shi 0001, Richard D. Wesel, Ingrid Verbauwhede Streaming Encryption for a Secure Wavelength and Time Domain Hopped Optical Network. Search on Bibsonomy ITCC (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Takahiro J. Yamaguchi, Masahiro Ishida, Mani Soma, Louis Malarsie, Hirobumi Musha Timing Jitter Measurement of Intrinsic Random Jitter and Sinusoidal Jitter Using Frequency Division. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF communication devices test, high frequency test, jitter test, timing jitter
19Graham Hetherington, Richard Simpson Circular BIST testing the digital logic within a high speed Serdes. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Masahiro Ishida, Takahiro J. Yamaguchi, Mani Soma, Hirobumi Musha Effects of Amplitude Modulation in Jitter Tolerance Measurements of Communication Devices. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Takahiro J. Yamaguchi, Masahiro Ishida, Mani Soma, Louis Malarsie, Hirobumi Musha Timing Jitter Measurement of 10 Gbps Bit Clock Signals Using Frequency Division. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #57 of 57 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license