The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase silicon-debug (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1998-2003 (17) 2004-2006 (23) 2007-2008 (20) 2009-2010 (21) 2011-2012 (19) 2013-2015 (19) 2016-2018 (20) 2019-2022 (9)
Publication types (Num. hits)
article(39) inproceedings(109)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 70 occurrences of 50 keywords

Results
Found 148 publication records. Showing 148 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
109Yu-Chin Hsu, Fur-Shing Tsai, Wells Jong, Ying-Tsai Chang Visibility enhancement for silicon debug. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF silicon validation, functional verification, silicon debug
103Ehab Anis, Nicola Nicolici Interactive presentation: Low cost debug architecture using lossy compression for silicon debug. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
84Kwang-Ting (Tim) Cheng Effective silicon debug is key for time to money. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
81Ho Fai Ko, Nicola Nicolici Algorithms for State Restoration and Trace-Signal Selection for Data Acquisition in Silicon Debug. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
80Joon-Sung Yang, Nur A. Touba Expanding Trace Buffer Observation Window for In-System Silicon Debug through Selective Capture. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Trace Buffer Observation Window, Two-Dimensional (2-D) Compaction, Cycling Register, Silicon Debug, MISR
78Hari Balachandran, Kenneth M. Butler, Neil Simpson Facilitating Rapid First Silicon Debug. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
77Chia-Chih Yen, Ten Lin, Hermes Lin, Kai Yang, Ta-Yung Liu, Yu-Chin Hsu A General Failure Candidate Ranking Framework for Silicon Debug. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Silicon Debug
77Bart Vermeulen, Mohammad Zalfany Urfianto, Sandeep Kumar Goel Automatic generation of breakpoint hardware for silicon debug. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hardware-breakpoints, design-flow, silicon-debug, design-for-debug
64Jagannath Keshava, Nagib Hakim, Chinna Prudvi Post-silicon validation challenges: how EDA and academia can help. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF design, verification, test, validation, emulation
63Chia-Chih Yen, Ten Lin, Hermes Lin, Kai Yang, Ta-Yung Liu, Yu-Chin Hsu Diagnosing Silicon Failures Based on Functional Test Patterns. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fault diagnosis, Silicon debug, design for debug
63Sandeep Kumar Goel, Bart Vermeulen Data Invalidation Analysis for Scan-Based Debug on Multiple-Clock System Chips. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF scan-based debug, Design-for-Debug (DfD), clock gating, silicon debug, multiple-clock domains
63Doug Josephson The good, the bad, and the ugly of silicon debug. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF design for test and debug, debug, validation, characterization
63Bart Vermeulen, Tom Waayers, Sandeep Kumar Goel Core-Based Scan Architecture for Silicon Debug. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
61Rob Aitken, Erik Jan Marinissen Guest Editors' Introduction: Addressing the Challenges of Debug and Diagnosis. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
61Bart Vermeulen Functional Debug Techniques for Embedded Systems. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
58Carol Pyron, Rekha Bangalore, Dawit Belete, Jason Goertz, Ashutosh Razdan, Denise Younger Silicon Symptoms to Solutions: Applying Design for Debug Techniques. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
57Marc Boule, Jean-Samuel Chenard, Zeljko Zilic Assertion Checkers in Verification, Silicon Debug and In-Field Diagnosis. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
57Steven J. E. Wilton, Chun Hok Ho, Bradley R. Quinton, Philip Heng Wai Leong, Wayne Luk A Synthesizable Datapath-Oriented Embedded FPGA Fabric for Silicon Debug Applications. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Field programmable gate array, system-on-chip, integrated circuit, silicon debug
57Ramyanshu Datta, Antony Sebastine, Ashwin Raghunathan, Jacob A. Abraham On-chip delay measurement for silicon debug. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF design for testability, delay fault testing, silicon debug
55Flavio M. de Paula, Marcel Gort, Alan J. Hu, Steven J. E. Wilton, Jin Yang 0006 BackSpace: Formal Analysis for Post-Silicon Debug. Search on Bibsonomy FMCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
55Paolo Bernardi, Michelangelo Grosso, Maurizio Rebaudengo, Matteo Sonza Reorda Exploiting an I-IP for both Test and Silicon Debug of Microprocessor Cores. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
53Miron Abramovici In-System Silicon Validation and Debug. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
50Leonard Lee, Li-C. Wang, Praveen Parvathala, T. M. Mak On Silicon-Based Speed Path Identification. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
49Young-Jun Kwon, Ben Mathew, Hong Hao FakeFault: a silicon debug software tool for microprocessor embedded memory arrays. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
46Greg Yeric, Ethan Cohen, John Garcia, Kurt Davis, Esam Salem, Gary Green Infrastructure for Successful BEOL Yield Ramp, Transfer to Manufacturing, and DFM Characterization at 65 nm and Below. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF systematic yield loss, test structure, BEOL, DFM, process monitoring, silicon debug, infrastructure IP
46 Panel Summaries. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF IEEE European Test Symposium, IEEE Infrastructure IP Workshop, silicon debug, microelectronics, infrastructure IP
41Inhyuk Choi, Hyunggoy Oh, Young-Woo Lee, Sungho Kang 0001 Test Resource Reused Debug Scheme to Reduce the Post-Silicon Debug Cost. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
41Marc Boule, Jean-Samuel Chenard, Zeljko Zilic Adding Debug Enhancements to Assertion Checkers for Hardware Emulation and Silicon Debug. Search on Bibsonomy ICCD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Kai Yang, Kwang-Ting Cheng Silicon Debug for Timing Errors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Ramyanshu Datta, Gary D. Carpenter, Kevin J. Nowka, Jacob A. Abraham A Scheme for On-Chip Timing Characterization. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Sandeep Kumar Goel, Bart Vermeulen Hierarchical Data Invalidation Analysis for Scan-Based Debug on Multiple-Clock System Chips. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Sung-Boem Park, Anne Bracy, Hong Wang 0003, Subhasish Mitra BLoG: post-silicon bug localization in processors using bug localization graphs. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF IFRA, BLoG, silicon debug, post-silicon validation
31Masahiro Fujita, Yoshihisa Kojima, Amir Masoud Gharehbaghi Debugging from high level down to gate level. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF post-silicon debug, dependence analysis, system level design, equivalence checking, high-level design
31Anant Vishnoi, Preeti Ranjan Panda, M. Balakrishnan Online cache state dumping for processor debug. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cache compression, processor debug, silicon debug, design for debug, post-silicon validation
31Desta Tadesse, R. Iris Bahar, Joel Grodstein Fast Measurement of the "Non-Deterministic Zone" in Microprocessor Debug Using Maximum Likelihood Estimation. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Microprocessor Diagnosis, Pass/Fail Region, Maximum Likelihood Estimation, Silicon Debug
31Miron Abramovici, Paul Bradley, Kumar N. Dwarakanath, Peter Levin, Gérard Memmi, Dave Miller A reconfigurable design-for-debug infrastructure for SoCs. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF assertion-based debug, at-speed debug, what-if experiments, silicon debug
31Robert C. Aitken ITC is Cool. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF high-frequency test, board and system test, test compression, silicon debug, International Test Conference, ITC
29Yu Huang 0005, Wu-Tung Cheng, Cheng-Ju Hsieh, Huan-Yung Tseng, Alou Huang, Yu-Ting Hung Efficient Diagnosis for Multiple Intermittent Scan Chain Hold-Time Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Atanu Chattopadhyay, Zeljko Zilic Serial reconfigurable mismatch-tolerant clock distribution. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF process variation, clock skew, clock networks
25Riccardo Cantoro, Francesco Garau, Riccardo Masante, Sandro Sartoni, Virendra Singh, Matteo Sonza Reorda Exploiting post-silicon debug hardware to improve the fault coverage of Software Test Libraries. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Hayoung Lee, Hyunggoy Oh, Sungho Kang 0001 On-Chip Error Detection Reusing Built-In Self-Repair for Silicon Debug. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
25Sidhartha Sankar Rout, Sujay Deb, Kanad Basu WiND: An Efficient Post-Silicon Debug Strategy for Network on Chip. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
25Binod Kumar 0001, Jay Adhaduk, Kanad Basu, Masahiro Fujita, Virendra Singh A Methodology to Capture Fine-Grained Internal Visibility During Multisession Silicon Debug. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Kamran Rahmani, Prabhat Mishra 0001 Feature-Based Signal Selection for Post-Silicon Debug Using Machine Learning. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Siamack BeigMohammadi, Bijan Alizadeh Combinational Hybrid Signal Selection With Updated Reachability Lists for Post-Silicon Debug. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Yun Cheng, Huawei Li 0001, Ying Wang 0001, Xiaowei Li 0001 Cluster Restoration-Based Trace Signal Selection for Post-Silicon Debug. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
25Binod Kumar 0001, Masahiro Fujita, Virendra Singh SAT-based Silicon Debug of Electrical Errors under Restricted Observability Enhancement. Search on Bibsonomy J. Electron. Test. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
25Amit Jakati, Manish Sharma, Joy Liao Innovative Practices on Software and Hardware based Silicon Debug/Fault Isolation. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
25Yun Cheng, Huawei Li 0001, Ying Wang 0001, Haihua Shen, Bo Liu 0018, Xiaowei Li 0001 On Trace Buffer Reuse-Based Trigger Generation in Post-Silicon Debug. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Bijan Alizadeh, Mehdi Shakeri QBF-Based Post-Silicon Debug of Speed-Paths Under Timing Variations. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Ankit Jindal, Binod Kumar 0001, Nitish Jindal, Masahiro Fujita, Virendra Singh Silicon Debug with Maximally Expanded Internal Observability Using Nearest Neighbor Algorithm. Search on Bibsonomy ISVLSI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Yuting Cao, Hernan M. Palombo, Sandip Ray, Hao Zheng 0001 Enhancing Observability for Post-Silicon Debug with On-chip Communication Monitors. Search on Bibsonomy ISVLSI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Pallav Gupta An Effective Methodology for Automated Diagnosis of Functional Pattern Failures to Support Silicon Debug. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Debjit Pal, Abhishek Sharma, Sandip Ray, Flavio M. de Paula, Shobha Vasudevan Application level hardware tracing for scaling post-silicon debug. Search on Bibsonomy DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Hyunggoy Oh, Taewoo Han, Inhyuk Choi, Sungho Kang 0001 An On-Chip Error Detection Method to Reduce the Post-Silicon Debug Time. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Hyunggoy Oh, Inhyuk Choi, Sungho Kang 0001 DRAM-Based Error Detection Method to Reduce the Post-Silicon Debug Time for Multiple Identical Cores. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Shuo-Lian Hong, Kuen-Jong Lee A run-pause-resume silicon debug technique for multiple clock domain systems. Search on Bibsonomy ITC-Asia The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Hyunggoy Oh, Heetae Kim, Jaeil Lim, Sungho Kang 0001 A selective error data capture method using on-chip DRAM for silicon debug of multi-core design. Search on Bibsonomy ISOCC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Yun Cheng, Huawei Li 0001, Ying Wang 0001, Yingke Gao, Bo Liu 0018, Xiaowei Li 0001 Flip-flop clustering based trace signal selection for post-silicon debug. Search on Bibsonomy VTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Shuo-Lian Hong, Kuen-Jong Lee A run-pause-resume silicon debug technique with cycle granularity for multiple clock domain systems. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Binod Kumar 0001, Kanad Basu, Ankit Jindal, Brajesh Pandey, Masahiro Fujita A Formal Perspective on Effective Post-silicon Debug and Trace Signal Selection. Search on Bibsonomy VDAT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Kamran Rahmani, Sudhi Proch, Prabhat Mishra 0001 Efficient Selection of Trace and Scan Signals for Post-Silicon Debug. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Binod Kumar 0001, Ankit Jindal, Virendra Singh A trace signal selection algorithm for improved post-silicon debug. Search on Bibsonomy EWDTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Siamack BeigMohammadi, Bijan Alizadeh Combinational trace signal selection with improved state restoration for post-silicon debug. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
25Jing Zhang, Lars-Johan Fritz, Liang Liu 0002, Erik Larsson Compressor design for silicon debug. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Prabanjan Komari, Ranga Vemuri A novel simulation based approach for trace signal selection in silicon debug. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Mike Ricchetti, Eric Rentschler, Amit Majumdar 0002, Mike Lowe, Mark LaVine, Skip Lindsey, Sharad Kumar Special panel session IIB: "System validation and silicon debug - Is standardization possible?". Search on Bibsonomy VTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Yuanwen Huang, Anupam Chattopadhyay, Prabhat Mishra 0001 Trace Buffer Attack: Security versus observability study in post-silicon debug. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Yutaka Tamiya, Yoshinori Tomita, Toshiyuki Ichiba, Kaoru Kawamura Sequence-based In-Circuit Breakpoints for Post-Silicon Debug (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Hsin-Chen Chen, Cheng-Rong Wu, Katherine Shu-Min Li, Kuen-Jong Lee A breakpoint-based silicon debug technique with cycle-granularity for handshake-based SoC. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
25Amin Vali, Nicola Nicolici Satisfiability-Based Analysis of Failing Traces during Post-silicon Debug. Search on Bibsonomy NATW The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25André B. M. Gomes, Fredy A. M. Alves, Ricardo S. Ferreira 0001, José Augusto Miranda Nacif Increasing Observability in Post-Silicon Debug Using Asymmetric Omega Networks. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25André B. M. Gomes, Fredy A. M. Alves, Ricardo S. Ferreira 0001, José Augusto Miranda Nacif Vericonn: a tool to generate efficient interconnection networks for post-silicon debug. Search on Bibsonomy LATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Mike Ricchetti Innovative practices session 3C: Advances in silicon debug & diagnosis. Search on Bibsonomy VTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Min Li, Azadeh Davoodi A Hybrid Approach for Fast and Accurate Trace Signal Selection for Post-Silicon Debug. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
25Prateek Thakyal, Prabhat Mishra 0001 Layout-Aware Selection of Trace Signals for Post-Silicon Debug. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
25Min Li, Azadeh Davoodi Multi-mode trace signal selection for post-silicon debug. Search on Bibsonomy ASP-DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
25Yun Cheng, Huawei Li 0001, Xiaowei Li 0001 An On-Line Timing Error Detection Method for Silicon Debug. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
25Sabyasachi Deyati, Barry John Muldrey, Aritra Banerjee, Abhijit Chatterjee Atomic model learning: A machine learning paradigm for post silicon debug of RF/analog circuits. Search on Bibsonomy VTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
25Sergej Deutsch, Krishnendu Chakrabarty Massive signal tracing using on-chip DRAM for in-system silicon debug. Search on Bibsonomy ITC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
25Joon-Sung Yang, Nur A. Touba Improved Trace Buffer Observation via Selective Data Capture Using 2-D Compaction for Post-Silicon Debug. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
25Eddie Hung, Steven J. E. Wilton Scalable Signal Selection for Post-Silicon Debug. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
25Kanad Basu, Prabhat Mishra 0001, Priyadarsan Patra, Amir Nahir, Allon Adir Dynamic Selection of Trace Signals for Post-Silicon Debug. Search on Bibsonomy MTV The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
25Min Li, Azadeh Davoodi A hybrid approach for fast and accurate trace signal selection for post-silicon debug. Search on Bibsonomy DATE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
25Bao Le, Dipanjan Sengupta, Andreas G. Veneris, Zissis Poulos Accelerating post silicon debug of deep electrical faults. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
25Nikos Foutris, Dimitris Gizopoulos, Xavier Vera, Antonio González 0001 Deconfigurable microprocessor architectures for silicon debug acceleration. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
25Yu-Shen Yang, Andreas G. Veneris, Nicola Nicolici Automating Data Analysis and Acquisition Setup in a Silicon Debug Environment. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
25Marcel Gort, Flavio M. de Paula, Johnny J. W. Kuan, Tor M. Aamodt, Alan J. Hu, Steven J. E. Wilton, Jin Yang 0006 Formal-Analysis-Based Trace Computation for Post-Silicon Debug. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
25Joon-Sung Yang, Nur A. Touba Efficient Trace Signal Selection for Silicon Debug by Error Transmission Analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
25Flavio M. de Paula, Alan J. Hu, Amir Nahir nuTAB-BackSpace: Rewriting to Normalize Non-determinism in Post-silicon Debug Traces. Search on Bibsonomy CAV The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
25Johnny J. W. Kuan, Tor M. Aamodt Progressive-BackSpace: Efficient Predecessor Computation for Post-Silicon Debug. Search on Bibsonomy MTV The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
25Yu-Shen Yang, Andreas G. Veneris, Nicola Nicolici, Masahiro Fujita Automated data analysis techniques for a modern silicon debug environment. Search on Bibsonomy ASP-DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
25Amir Masoud Gharehbaghi, Masahiro Fujita Transaction-based post-silicon debug of many-core System-on-Chips. Search on Bibsonomy ISQED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
25Xiao Liu 0011, Qiang Xu 0001 On efficient silicon debug with flexible trace interconnection fabric. Search on Bibsonomy ITC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
25Srikanth Venkataraman, Nagesh Tamarapalli Tutorial T3: DFM, DFT, Silicon Debug and Diagnosis - The Loop to Ensure Product Yield. Search on Bibsonomy VLSI Design The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
25Feng Yuan, Xiao Liu 0011, Qiang Xu 0001 X-tracer: a reconfigurable X-tolerant trace compressor for silicon debug. Search on Bibsonomy DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
25Ehab Anis Daoud, Nicola Nicolici On Using Lossy Compression for Repeatable Experiments during Silicon Debug. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
25Keith A. Bowman, Carlos Tokunaga, James W. Tschanz, Arijit Raychowdhury, Muhammad M. Khellah, Bibiche M. Geuskens, Shih-Lien Lu, Paolo A. Aseron, Tanay Karnik, Vivek K. De All-Digital Circuit-Level Dynamic Variation Monitor for Silicon Debug and Adaptive Clock Control. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
25Xiao Liu 0011, Qiang Xu 0001 On multiplexed signal tracing for post-silicon debug. Search on Bibsonomy DATE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
25Debapriya Chatterjee, Calvin McCarter, Valeria Bertacco Simulation-based signal selection for state restoration in silicon debug. Search on Bibsonomy ICCAD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 148 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license