The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for skew with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1980 (15) 1982-1987 (18) 1988-1989 (15) 1990 (20) 1991-1992 (30) 1993 (35) 1994 (35) 1995 (48) 1996 (48) 1997 (62) 1998 (42) 1999 (79) 2000 (61) 2001 (62) 2002 (75) 2003 (89) 2004 (125) 2005 (132) 2006 (146) 2007 (133) 2008 (170) 2009 (127) 2010 (117) 2011 (108) 2012 (92) 2013 (115) 2014 (133) 2015 (150) 2016 (121) 2017 (124) 2018 (128) 2019 (139) 2020 (160) 2021 (139) 2022 (148) 2023 (111) 2024 (31)
Publication types (Num. hits)
article(1800) incollection(24) inproceedings(1552) phdthesis(7)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1267 occurrences of 744 keywords

Results
Found 3383 publication records. Showing 3383 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
123Hechmi Khlifi, Jean-Charles Grégoire Estimation and Removal of Clock Skew From Delay Measures. Search on Bibsonomy LCN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF clock skew, delay measurement
121Chung-Wen Albert Tsao, Cheng-Kok Koh UST/DME: a clock tree router for general skew constraints. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Useful Skew, feasible skew range, incremental skew scheduling, merging and embedding, merging region, clock tree
102Chuan Lin 0002, Hai Zhou 0001 Clock Skew Scheduling with Delay Padding for Prescribed Skew Domains. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF delay padding, prescribed skew domains, optimal skew scheduling algorithm, domain assignment, sequential circuit, flip-flops, memory elements, clock period, clock skew scheduling
89Chao-Yang Yeh, Malgorzata Marek-Sadowska Skew-programmable clock design for FPGA and skew-aware placement. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF clock architecture, skew optimization, placement
84Chiu L. Yu, Yuan Yan Tang, Ching Y. Suen Document skew detection based on the fractal and least squares method. Search on Bibsonomy ICDAR The full citation details ... 1995 DBLP  DOI  BibTeX  RDF document skew detection, totally unconstrained document, skew angle, skewed text blocks, saw-tooth algorithm, fractal, least squares approximations, least squares method, document segmentation, robust algorithm
79Bao Liu 0001, Andrew B. Kahng, Xu Xu 0001, Jiang Hu, Ganesh Venkataraman A Global Minimum Clock Distribution Network Augmentation Algorithm for Guaranteed Clock Skew Yield. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
79David M. Harris, Sam Naffziger Statistical clock skew modeling with data delay variations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
79David L. Harris, Mark Horowitz, Dean Liu Timing analysis including clock skew. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
78Adnan Amin, Stephen Fischer A Document Skew Detection Method Using the Hough Transform. Search on Bibsonomy Pattern Anal. Appl. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Hough transform, Document analysis, Connected components, Least square method, Skew detection, Projection profile
77Xinjie Wei, Yici Cai, Xianlong Hong Physical aware clock skew rescheduling. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF skew rescheduling, process variations, clock skew
76Jason Cong, Andrew B. Kahng, Cheng-Kok Koh, Chung-Wen Albert Tsao Bounded-skew clock and Steiner routing under Elmore delay. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF bounded-skew, pathlength delay, VLSI, global routing, Elmore delay, zero-skew, zero-skew, clock routing, routing trees
76Ahmed El-Amawy Clocking Arbitrarily Large Computing Structures Under Constant Skew Bound. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF constant skew bound, arbitrarily large computing structures, communicating cells, skew upper bound, maximum clocking rate, 2-D mesh framework, node design, nonplanar structures, parallel architectures, stability, hypercubes, network topology, synchronisation, hypercube networks, clocks, clock skew, global synchronization
74Ameer Abdelhadi, Ran Ginosar, Avinoam Kolodny, Eby G. Friedman Timing-driven variation-aware nonuniform clock mesh synthesis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF clock mesh synthesis, non-tree clock networks, vlsi cad, power, process variations, physical design, clock skew, clock distribution
72Joe G. Xi, Wayne Wei-Ming Dai Jitter-tolerant clock routing in two-phase synchronous systems. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Deferred-Merge Embedding framework, clock jitter, clock tree cost, jitter-tolerance, jitter-tolerant clock routing, near-zero skew, nonoverlapping interval, safety margin, system operating conditions, two-phase clocking, two-phase jitter-tolerant useful-skew tree, two-phase synchronous systems, simulated annealing, manufacturing, jitter, zero skew
72Guobiao Weng, Lei Hu Some results on skew Hadamard difference sets. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF AMS Classification 05B10
72Yu Chen 0005, Andrew B. Kahng, Gang Qu 0001, Alexander Zelikovsky The associative-skew clock routing problem. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
72José Luis Neves, Eby G. Friedman Buffered Clock Tree Synthesis with Non-Zero Clock Skew Scheduling for Increased Tolerance to Process Parameter Variations. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
72Joe G. Xi, Wayne Wei-Ming Dai Useful-Skew Clock Routing with Gate Sizing for Low Power Design. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
70Fengying Xie, Zhi-Guo Jiang, Lei Wang Skew Detection Algorithm for Form Document Based on Elongate Feature. Search on Bibsonomy EMMCVPR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF form document, directional region growing, connected region, elongate function, skew detection
70Baris Taskin, Ivan S. Kourtev Delay insertion method in clock skew scheduling. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF delay insertion, re-convergent paths, optimization, linear programming, clock skew
69Su S. Chen, Robert M. Haralick, Ihsin T. Phillips Automatic text skew estimation in document images. Search on Bibsonomy ICDAR The full citation details ... 1995 DBLP  DOI  BibTeX  RDF text skew angle, text skew estimation, document image data set, optimal parameter settings, SUN Sparc 10 machines, document image processing, document images, document image
64Delphine Boucher, Felix Ulmer Codes as Modules over Skew Polynomial Rings. Search on Bibsonomy IMACC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
64Liang Junjuan, Guoxin Tan An Efficient Algorithm for Skew-Correction of Document Image Based on Cyclostyle Matching. Search on Bibsonomy CSSE (5) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
64Michael Makridis, Nikos A. Nikolaou, Nikos Papamarkos A New Technique for Global and Local Skew Correction in Binary Documents. Search on Bibsonomy ACIVS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
64Ashutosh Chakraborty, Karthik Duraisami, Ashoka Visweswara Sathanur, Prassanna Sithambaram, Alberto Macii, Enrico Macii, Massimo Poncino Dynamic Management of Thermally-Induced Clock Skew: An Implementation Perspective. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
64Yuxiang Zheng, Jiang Li, Jin Liu, Qian Yu Automatic within-pair-skew compensation for 6.25 Gbps differential links using wide-bandwidth delay units. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
64Zhaoyun Xing, Prithviraj Banerjee A parallel algorithm for zero skew clock tree routing. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
63Yu Xu, Pekka Kostamaa, Xin Zhou, Liang Chen Handling data skew in parallel joins in shared-nothing systems. Search on Bibsonomy SIGMOD Conference The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallel joins, data skew, shared nothing
63Shinya Abe, Masanori Hashimoto, Takao Onoye Clock Skew Evaluation Considering Manufacturing Variability in Mesh-Style Clock Distribution. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF mesh-style clock distribution, clock skew, manufacturing variability
63Petr Slavík, Venu Govindaraju Equivalence of Different Methods for Slant and Skew Corrections in Word Recognition Applications. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF slant normalization, skew normalization, handwriting recognition, Image preprocessing
63J. L. Knighten, N. W. Smith, L. O. Hoeft, J. T. DiBene II EMI Common-Mode Current Dependence on Delay Skew Imbalance in High Speed Differential Transmission Lines Operating at 1 Gigabit/second Data Rates. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF radiated emissions, common-mode current, differential transmission line, skew, EMI
62Xuegong Deng, Xuemei Deng, Ilkka Havukkala Strong GC and AT Skew Correlation in Chicken Genome. Search on Bibsonomy PRIB The full citation details ... 2007 DBLP  DOI  BibTeX  RDF AT/GC skew, skew correlation, chicken genome, visualization, 2D, chromosome
60Chunchen Liu, Junjie Su, Yiyu Shi 0001 Temperature-aware clock tree synthesis considering spatiotemporal hot spot correlations. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
60Mohamed Nekili, Guy Bois, Yvon Savaria Pipelined H-trees for high-speed clocking of large integrated systems in presence of process variations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
60Ari D. Gross, Terrance E. Boult Analyzing skewed symmetries. Search on Bibsonomy Int. J. Comput. Vis. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
57Yu-Chien Kao, Hsuan-Ming Chou, Kun-Ting Tsai, Shih-Chieh Chang An efficient phase detector connection structure for the skew synchronization system. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF adjustable delay buffer, phase detector, post-silicon tuning
57Xinjie Wei, Yici Cai, Xianlong Hong Clock Skew Scheduling Under Process Variations. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
57Masanori Hashimoto, Tomonori Yamamoto, Hidetoshi Onodera Statistical Analysis of Clock Skew Variation in H-Tree Structure. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
57Aseem Agarwal, Vladimir Zolotov, David T. Blaauw Statistical clock skew analysis considering intradie-process variations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
57Xiaohong Jiang 0001, Susumu Horiguchi Statistical skew modeling for general clock distribution networks in presence of process variations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
57Alexander Zelikovsky, Ion I. Mandoiu Practical approximation algorithms for zero- and bounded-skew trees. Search on Bibsonomy SODA The full citation details ... 2001 DBLP  BibTeX  RDF
57U. Pal 0001, Mandar Mitra, B. B. Chaudhuri 0001 Multi-Skew Detection of Indian Script Documents. Search on Bibsonomy ICDAR The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
57Sachin S. Sapatnekar, Rahul B. Deokar Utilizing the retiming-skew equivalence in a practical algorithm for retiming large circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
55Ashutosh Chakraborty, David Z. Pan Skew management of NBTI impacted gated clock trees. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF clock skew, clock gating, NBTI
55Po-Yuan Chen, Kuan-Hsien Ho, TingTing Hwang Skew-aware polarity assignment in clock tree. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF peak current, polarity assignment, power/ground noise, Clock skew, clock tree
55Tsung-I Lin, Jack C. Lee, Wan J. Hsieh Robust mixture modeling using the skew t distribution. Search on Bibsonomy Stat. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF EM-type algorithms, Outlying observations, PX-EM algorithm, Skew t mixtures, Truncated normal, Maximum likelihood
55Muhammad Sarfraz 0001, Sabri A. Mahmoud, Zeehasham Rasheed On Skew Estimation and Correction of Text. Search on Bibsonomy CGIV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF skew estimation, tilt correction, Image processing, character recognition, connected component
55Zhengtao Yu 0002, Marios C. Papaefthymiou, Xun Liu Skew spreading for peak current reduction. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low power, clock skew, clock scheduling
55Chia-Chun Tsai, Jan-Ou Wu, Chung-Chieh Kuo, Trong-Yen Lee, Wen-Ta Lee Zero-Skew Driven for RLC Clock Tree Construction in SoC. Search on Bibsonomy ICITA (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF RLC delay model, Upward propagation, SoC, Clock tree, Zero skew
55Andrew V. Goldberg, Alexander V. Karzanov Maximum skew-symmetric flows and matchings. Search on Bibsonomy Math. Program. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF skew-symmetric graph, matching, network flow, b-matching
55Rafael Dueire Lins, Bruno Tenório Ávila A New Algorithm for Skew Detection in Images of Documents. Search on Bibsonomy ICIAR (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Rotated Images, Document Image Analysis, Skew detection
55Jeng-Liang Tsai, Tsung-Hao Chen, Charlie Chung-Ping Chen Optimal minimum-delay/area zero-skew clock tree wire-sizing in pseudo-polynomial time. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ?-optimal, incremental refinement, pseudo-polynomial, clock tree, wire-sizing, zero-skew
55Seong-Ook Jung, Ki-Wook Kim, Sung-Mo Kang Optimal Timing for Skew-Tolerant High-Speed Domino Logic. Search on Bibsonomy ISVLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF keeper, optimal timing, noise, skew, domino logic, dynamic circuit
55Min Zhao 0001, Kaushik Gala, Vladimir Zolotov, Yuhong Fu, Rajendran Panda, R. Ramkumar, Bhuwan K. Agrawal Worst case clock skew under power supply variations. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF clock skew, power supply noise, clock network
55Joel L. Wolf, Daniel M. Dias, Philip S. Yu A Parallel Sort Merge Join Algorithm for Managing Data Skew. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF data skew management, transfer phase, sort phase, parallel sort merge join algorithm, scheduling phase, join phases, parallelizable optimization algorithm, parallel algorithms, load balancing, relational databases, distributed databases, sorting, relational algebra, merging, divide-and-conquer, Zipf-like distribution, multiple processors
55Joel L. Wolf, Philip S. Yu, John Turek, Daniel M. Dias A Parallel Hash Join Algorithm for Managing Data Skew. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF parallel hash join algorithm, hierarchical hashing, heuristicoptimization, join column, scheduling, parallel algorithms, load balancing, query processing, resource allocation, relational databases, relational databases, combinatorial optimization, database theory, complex queries, data skew, hash joins, Zipf-like distribution
54Kui Wang, Lian Duan, Xu Cheng ExtensiveSlackBalance: an approach to make front-end tools aware of clock skew scheduling. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF back-annotation, skew scheduling, logic synthesis, clock skew
52Uday Padmanabhan, Janet Meiling Wang, Jiang Hu Robust Clock Tree Routing in the Presence of Process Variations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
52Ashok Narasimhan, Ramalingam Sridhar A low power and low area active clock deskewing technique for sub-90nm technologies. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
52Gustavo R. Wilke, Rajeev Murgai Design and Analysis of "Tree+Local Meshes" Clock Architecture. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
52Uday Padmanabhan, Janet Meiling Wang, Jiang Hu Statistical clock tree routing for robustness to process variations. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF routing, robustness, process variations, clock tree
49Cheng-Yu Wang, Wai-Kei Mak Signal skew aware floorplanning and bumper signal assignment technique for flip-chip. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
49Javad Sadri, Mohamed Cheriet A New Approach for Skew Correction of Documents Based on Particle Swarm Optimization. Search on Bibsonomy ICDAR The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
49Jon-Lark Kim, Patrick Solé Skew Hadamard designs and their codes. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 94B25, AMS Classifications 05B20
49Takayuki Obata, Mineo Kaneko Concurrent skew and control step assignments in RT-level datapath synthesis. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
49Ganesh Venkataraman, Jiang Hu, Frank Liu 0001 Integrated Placement and Skew Optimization for Rotary Clocking. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
49Hao Yu 0001, Yu Hu 0002, Chunchen Liu, Lei He 0001 Minimal skew clock embedding considering time variant temperature gradient. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF clock tree design, compact parameterization, parameterized perturbation, thermal management
49Po-Yuan Chen, Kuan-Hsien Ho, TingTing Hwang Skew aware polarity assignment in clock tree. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
49Duc Thanh Nguyen, Dai Binh Vo, Tu Mi Nguyen, Thuy Giang Nguyen A Robust Document Skew Estimation Algorithm Using Mathematical Morphology. Search on Bibsonomy ICTAI (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
49Mosin Mondal, Andrew J. Ricketts, Sami Kirolos, Tamer Ragheb, Greg M. Link, Narayanan Vijaykrishnan, Yehia Massoud Mitigating Thermal Effects on Clock Skew with Dynamically Adaptive Drivers. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
49Shijian Lu, Jie Wang, Chew Lim Tan Fast and Accurate Detection of Document Skew and Orientation. Search on Bibsonomy ICDAR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
49Baris Taskin, Ivan S. Kourtev Delay Insertion Method in Clock Skew Scheduling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49Houman Zarrabi, Haydar Saaied, Asim J. Al-Khalili, Yvon Savaria Zero skew differential clock distribution network. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49Shih-Hsu Huang, Yow-Tyng Nieh, Feng-Pin Lu Race-condition-aware clock skew scheduling. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF sequential circuits, high performance, timing optimization
49Ali Amer 0002, Jonathan R. Sykes, Christopher J. Moore Imaging Panel Skew Correction and Auto-focusing in Radiotherapy Cone Beam Imaging. Search on Bibsonomy IV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
49Makoto Mori, Hongyu Chen, Bo Yao, Chung-Kuan Cheng A multiple level network approach for clock skew minimization with process variations. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
49Anand Rajaram, Jiang Hu, Rabi N. Mahapatra Reducing clock skew variability via cross links. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF VLSI, physical design, variation, clock network synthesis
49Christoph Albrecht, Andrew B. Kahng, Bao Liu 0001, Ion I. Mandoiu, Alexander Zelikovsky On the skew-bounded minimum-buffer routing tree problem. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
49Aseem Agarwal, David T. Blaauw, Vladimir Zolotov Statistical Clock Skew Analysis Considering Intra-Die Process Variations. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
49Yue Lu 0001, Chew Lim Tan Improved Nearest Neighbor Based Approach to Accurate Document Skew Estimation. Search on Bibsonomy ICDAR The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
49Wei Li, Dengfeng Gao, Richard T. Snodgrass Skew handling techniques in sort-merge join. Search on Bibsonomy SIGMOD Conference The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
49Reza Safabakhsh, Shahram Khadivi Document Skew Detection Using Minimum-Area Bounding Rectangle. Search on Bibsonomy ITCC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
49Huiye Ma, Zhenwei Yu 0002 An Enhanced Skew Angle Estimation Technique for Binary Document Images. Search on Bibsonomy ICDAR The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
49Harsha Sathyamurthy, Sachin S. Sapatnekar, John P. Fishburn Speeding up pipelined circuits through a combination of gate sizing and clock skew optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
49José Luis Neves, Eby G. Friedman Design methodology for synthesizing clock distribution networks exploiting nonzero localized clock skew. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
49Asit Dan, Philip S. Yu, Jen-Yao Chung Characterization of Database Access Skew in a Transaction Processing Environment. Search on Bibsonomy SIGMETRICS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
49Christopher B. Walton, Alfred G. Dale Data skew and the scalability of parallel joins. Search on Bibsonomy SPDP The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
48Xinjie Wei, Yici Cai, Meng Zhao, Xianlong Hong Legitimate Skew Clock Routing with Buffer Insertion. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF legitimate skew, buffer insertion, clock routing
48Takuma Yamaguchi, Minoru Maruyama, Hidetoshi Miyao, Yasuaki Nakano Digit recognition in a natural scene with skew and slant normalization. Search on Bibsonomy Int. J. Document Anal. Recognit. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Digits in natural scenes, Skew and slant normalization, Digit recognition
48Ganesh Venkataraman, Cliff C. N. Sze, Jiang Hu Skew scheduling and clock routing for improved tolerance to process variations. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF layout embedding, skew scheduling, reliability, process variation, clock routing
48Hailin Jiang, Kai Wang 0011, Malgorzata Marek-Sadowska Clock skew bounds estimation under power supply and process variations. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF process variation, clock skew, SLP
48P. Shivakumara, G. Hemantha Kumar 0001, H. S. Varsha, S. Rekha, M. R. Rashmi Nayaka A New Moments based Skew Estimation Technique using Pixels in the Word for Binary Document Images. Search on Bibsonomy ICDAR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Boundary growing, Optical character recognition, Connected component, Moments, Skew detection
48Kai Wang 0011, Malgorzata Marek-Sadowska Buffer sizing for clock power minimization subject to general skew constraints. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF sequential linear programming, sizing, clock skew scheduling
48G. S. Lehal, Renu Dhir A Range Free Skew Detection Technique for Digitized Gurmukhi Script Documents. Search on Bibsonomy ICDAR The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Gurmukhi script, Skew Detection, Projection profile
48G. S. Peake, T. N. Tan A General Algorithm for Document Skew Angle Estimation. Search on Bibsonomy ICIP (2) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF document skew angle estimation, scanned document images, line spacing, Fourier spectra, peak pairs, graphical information, images, language, graphics, histogram, document image processing, script, general algorithm, font, document layout
48Changming Sun, Deyi Si Skew and Slant Correction for Document Images Using Gradient Direction. Search on Bibsonomy ICDAR The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Gradient orientation, Document image analysis, Skew correction, Slant correction
48Harsha Sathyamurthy, Sachin S. Sapatnekar, John P. Fishburn Speeding up pipelined circuits through a combination of gate sizing and clock skew optimization. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF acyclic pipelines, area-delay tradeoff, clock skew optimization, cycle-borrowing, logic design, combinational circuits, logic CAD, pipeline processing, circuit CAD, circuit optimisation, gate sizing, logic gates, pipelined circuits, timing specifications
48Ray Smith A simple and efficient skew detection algorithm via text row accumulation. Search on Bibsonomy ICDAR The full citation details ... 1995 DBLP  DOI  BibTeX  RDF skew detection algorithm, text row accumulation, document recognition system, page images, almost uniform distribution, image recognition
47A. Lawrence Spitz Correcting for variable skew in document images. Search on Bibsonomy Int. J. Document Anal. Recognit. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Skew detection, Skew correction
47Andrew D. Bagdanov, Junichi Kanai Projection profile based skew estimation algorithm for JBIG compressed images. Search on Bibsonomy ICDAR The full citation details ... 1997 DBLP  DOI  BibTeX  RDF projection profile based skew estimation algorithm, fiducial point extraction, skew angle estimation, JBIG compressed image decoding, page images, single column test zones, linear regression analyses, decoding
Displaying result #1 - #100 of 3383 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license