The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase tool-flow (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1997-2003 (18) 2004-2005 (16) 2006-2007 (18) 2008-2009 (16) 2010-2015 (16) 2016-2020 (15) 2022 (2)
Publication types (Num. hits)
article(21) inproceedings(80)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 57 occurrences of 50 keywords

Results
Found 101 publication records. Showing 101 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
34Christos P. Sotiriou Implementing asynchronous circuits using a conventional EDA tool-flow. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF tool-flow, asynchronous, EDA
31Bjorn De Sutter, Diederik Verkest, Erik Brockmeyer, Eric Delfosse, Arnout Vandecappelle, Jean-Yves Mignolet Design and Tool Flow of Multimedia MPSoC Platforms. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Tool flow, Multimedia, Parallelization, Predictability, MPSoC
21Brahim Al Farisi, Karel Bruneel, Harald Devos, Dirk Stroobandt Automatic tool flow for shift-register-LUT reconfiguration: making run-time reconfiguration fast and easy (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parameterizable configurations, tmap, fpga, reconfigurable computing, run-time reconfiguration, srl, icap
20Robert N. Blair, Jacques Benkoski How Do You Select A High Quality EDA Tool Flow?. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Choong Soo Lee, Hyun Jong Kim A Part Release considering Tool Scheduling and Dynamic Tool Allocation in Flexible Manufacturing Systems. Search on Bibsonomy ICAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15John Ferguson The Glue in a Confident SoC Flow. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF manufacturing requirements, gold standard, single tool flow, design-to-silicon, designstyle independence, confident data transfer, Integration
11Greg Stitt, Frank Vahid Binary synthesis. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Binary synthesis, synthesis from software binaries, FPGA, hardware/software codesign, hardware/software partitioning, configurable logic, warp processors
10Andy D. Pimentel, Todor P. Stefanov, Hristo Nikolov, Mark Thompson 0001, Simon Polstra, Ed F. Deprettere Tool Integration and Interoperability Challenges of a System-Level Design Flow: A Case Study. Search on Bibsonomy SAMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Daniel Seita, Yufei Wang, Sarthak J. Shetty, Edward Yao Li, Zackory Erickson, David Held ToolFlowNet: Robotic Manipulation with Tools via Predicting Tool Flow from Point Clouds. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
9Daniel Seita, Yufei Wang, Sarthak J. Shetty, Edward Yao Li, Zackory Erickson, David Held ToolFlowNet: Robotic Manipulation with Tools via Predicting Tool Flow from Point Clouds. Search on Bibsonomy CoRL The full citation details ... 2022 DBLP  BibTeX  RDF
9Heechun Park, Bon Woong Ku, Kyungwook Chang, Da Eun Shim, Sung Kyu Lim Pseudo-3D Approaches for Commercial-Grade RTL-to-GDS Tool Flow Targeting Monolithic 3D ICs. Search on Bibsonomy ISPD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
9Lalitha Mohana Kalyani-Garimella, Sri Raga Sudha Garimella Novel 3D Monotonic Characterization of Standard Cell Liberty File Attributes w.r.t ASIC Tool Flow. Search on Bibsonomy MWSCAS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
9Florian Fricke, André Werner 0001, Keyvan Shahin, Florian Werner 0002, Michael Hübner 0001 Automatic Tool-Flow for Mapping Applications to an Application-Specific CGRA Architecture. Search on Bibsonomy IPDPS Workshops The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
9Khoa Dang Pham, Malte Vesper, Dirk Koch, Eddie Hung EFCAD - An Embedded FPGA CAD Tool Flow for Enabling On-chip Self-Compilation. Search on Bibsonomy FCCM The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
9Heechun Park, Kyungwook Chang, Bon Woong Ku, Jinwoo Kim, Edward Lee, Daehyun Kim 0002, Arjun Chaudhuri, Sanmitra Banerjee, Saibal Mukhopadhyay, Krishnendu Chakrabarty, Sung Kyu Lim RTL-to-GDS Tool Flow and Design-for-Test Solutions for Monolithic 3D ICs. Search on Bibsonomy DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
9Lalitha Mohana Kalyani-Garimella, Sri Raga Sudha Garimella Novel 3D Monotonic Characterization of Standard Cell Liberty File Attributes w.r.t ASIC Tool Flow. Search on Bibsonomy MWSCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
9Oluseyi A. Ayorinde, He Qi, Benton H. Calhoun FGC: A Tool-flow for Generating and Configuring Custom FPGAs(Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
9Jeongbin Kim 0001, Ki Tae Kim, Eui-Young Chung CAD Tool Flow for Variation-Tolerant Non-Volatile STT-MRAM LUT based FPGA. Search on Bibsonomy ICSCA The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
9Florian Fricke, André Werner 0001, Keyvan Shahin, Michael Hübner 0001 CGRA Tool Flow for Fast Run-Time Reconfiguration. Search on Bibsonomy ARC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
9Luis Andrés Cardona, Anees Ullah, Luca Sterpone, Carles Ferrer 0001 A novel tool-flow for zero-overhead cross-domain error resilient partially reconfigurable X-TMR for SRAM-based FPGAs. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
9Cristina Silvano, Giovanni Agosta, Jorge G. Barbosa, Andrea Bartolini, Andrea Rosario Beccari, Luca Benini, João Bispo, João M. P. Cardoso, Carlo Cavazzoni, Stefano Cherubin, Radim Cmar, Davide Gadioli, Candida Manelfi, Jan Martinovic, Ricardo Nobre, Gianluca Palermo, Martin Palkovic, Pedro Pinto 0002, Erven Rohou, Nico Sanna, Katerina Slaninová The ANTAREX tool flow for monitoring and autotuning energy efficient HPC systems. Search on Bibsonomy SAMOS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
9Lekhobola J. Tsoeunyane, Simon Winberg, Michael Inggs An IP core integration tool-flow for prototyping software-defined radios using static dataflow with access patterns. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
9Florian Fricke, André Werner 0001, Michael Hübner 0001 Tool flow for automatic generation of architectures and test-cases to enable the evaluation of CGRAs in the context of HPC applications. Search on Bibsonomy DASIP The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
9Tobias Wiersema, Arne Bockhorn, Marco Platzner An architecture and design tool flow for embedding a virtual FPGA into a reconfigurable system-on-chip. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
9Filipp Akopyan Design and Tool Flow of IBM's TrueNorth: an Ultra-Low Power Programmable Neurosynaptic Chip with 1 Million Neurons. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
9Tasmiat Rahman, Kristel Fobelets Efficient tool flow for 3D photovoltaic modelling. Search on Bibsonomy Comput. Phys. Commun. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
9Simon Reder, Christoph Roth, Harald Bucher, Oliver Sander, Jürgen Becker 0001 Adaptive algorithm and tool flow for accelerating SystemC on many-core architectures. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
9Filipp Akopyan, Jun Sawada, Andrew Cassidy, Rodrigo Alvarez-Icaza, John V. Arthur, Paul Merolla, Nabil Imam, Yutaka Y. Nakamura, Pallab Datta, Gi-Joon Nam, Brian Taba, Michael P. Beakes, Bernard Brezzo, Jente B. Kuang, Rajit Manohar, William P. Risk, Bryan L. Jackson, Dharmendra S. Modha TrueNorth: Design and Tool Flow of a 65 mW 1 Million Neuron Programmable Neurosynaptic Chip. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
9Christos Papameletis, Brion L. Keller, Vivek Chickermane, Said Hamdioui, Erik Jan Marinissen A DfT Architecture and Tool Flow for 3-D SICs With Test Data Compression, Embedded Cores, and Multiple Towers. Search on Bibsonomy IEEE Des. Test The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
9Martin Becker 0001, Sajid Mohamed, Karsten Albers, P. P. Chakrabarti 0001, Samarjit Chakraborty, Pallab Dasgupta, Soumyajit Dey, Ravindra Metta Timing Analysis of Safety-Critical Automotive Software: The AUTOSAFE Tool Flow. Search on Bibsonomy APSEC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
9Jens Korinth, David de la Chevallerie, Andreas Koch 0001 An Open-Source Tool Flow for the Composition of Reconfigurable Hardware Thread Pool Architectures. Search on Bibsonomy FCCM The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
9Christoph Roth, Simon Reder, Harald Bucher, Oliver Sander, Jürgen Becker 0001 Adaptive Algorithm and Tool Flow for Accelerating System C on Many-Core Architectures. Search on Bibsonomy DSD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
9Aritra Hazra, Rajdeep Mukherjee, Pallab Dasgupta, Ajit Pal, Kevin Harer, Ansuman Banerjee, Subhankar Mukherjee 0001 POWER-TRUCTOR: An Integrated Tool Flow for Formal Verification and Coverage of Architectural Power Intent. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
9Brahim Al Farisi, Elias Vansteenkiste, Karel Bruneel, Dirk Stroobandt A novel tool flow for increased routing configuration similarity in multi-mode circuits. Search on Bibsonomy ISVLSI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
9Brahim Al Farisi, Karel Bruneel, João M. P. Cardoso, Dirk Stroobandt An automatic tool flow for the combined implementation of multi-mode circuits. Search on Bibsonomy DATE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
9Kyprianos Papadimitriou, Christian Pilato, Dionisios N. Pnevmatikatos, Marco D. Santambrogio, Catalin Bogdan Ciobanu, Tim Todman, Tobias Becker, Tom Davidson, Xinyu Niu, Georgi Gaydadjiev, Wayne Luk, Dirk Stroobandt Novel Design Methods and a Tool Flow for Unleashing Dynamic Reconfiguration. Search on Bibsonomy CSE The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
9Greg Stitt, Alan D. George, Herman Lam, Melissa C. Smith, Vikas Aggarwal, Gongyu Wang, Casey Reardon, Brian Holland, Seth Koehler, James Coole An End-to-End Tool Flow for FPGA-Accelerated Scientific Computing. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
9Neil Steiner, Aaron Wood, Hamid Shojaei, Jacob Couch, Peter Athanas, Matthew French Torc: towards an open-source tool flow. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
9Stephanie Drzevitzky, Uwe Kastens, Marco Platzner Proof-Carrying Hardware: Concept and Prototype Tool Flow for Online Verification. Search on Bibsonomy Int. J. Reconfigurable Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
9Mariusz Grad, Christian Plessl Woolcano: An Architecture And Tool Flow For Dynamic Instruction Set Extension On Xilinx Virtex-4 FX. Search on Bibsonomy ERSA The full citation details ... 2009 DBLP  BibTeX  RDF
9Markus Rullmann, Renate Merker, Heiko Hinkelmann, Peter Zipf, Manfred Glesner An integrated tool flow to realize runtime-reconfigurable applications on a new class of partial multi-context FPGAs. Search on Bibsonomy FPL The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Mohammad Reza Kakoee, Federico Angiolini, Srinivasan Murali, Antonio Pullini, Ciprian Seiculescu, Luca Benini A floorplan-aware interactive tool flow for NoC design and synthesis. Search on Bibsonomy SoCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Jin Guo 0001, Antonis Papanikolaou, Michele Stucchi, Kristof Croes, Zsolt Tokei, Francky Catthoor A tool flow for predicting system level timing failures due to interconnect reliability degradation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF system degradation, system level failures, interconnect reliability
9Kelly Nasi, Martin Danek, Theodoros Karoubalis, Zdenek Pohl Figaro: an automatic tool flow for designs with dynamic reconfiguration (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Kelly Nasi, Martin Danek, Theodoros Karoubalis, Zdenek Pohl Figaro - An Automatic Tool Flow for Designs with Dynamic Reconfiguration. Search on Bibsonomy FPL The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Gerard J. M. Smit, Michèl A. J. Rosien, Yuanqing Guo, Paul M. Heysters Overview of the Tool-flow for the Montium Processor Tile. Search on Bibsonomy ERSA The full citation details ... 2004 DBLP  BibTeX  RDF
9Mustafa Özbayrak, Ahmet Kürsad Türker, Melek Pisman Part and Tool Flow Management in Multi-Cell Flexible Manufacturing System. Search on Bibsonomy WSC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
8Yuki Kobayashi, Murali Jayapala, Praveen Raghavan, Francky Catthoor, Masaharu Imai Methodology for operation shuffling and L0 cluster generation for low energy heterogeneous VLIW processors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Compilers for low energy, loop buffers, VLIW processors
8Anup Kumar Raghavan, Peter Sutton JPG - A Partial Bitstream Generation Tool to Support Partial Reconfiguration in Virtex FPGAs. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF JBits, FPGA design flow, Partial Bitstream, Xilinx Virtex, Partial Reconfiguration
8Vincent John Mooney III Path-based Edge Activation for Dynamic Run-Time Scheduling. Search on Bibsonomy ISSS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
7Nikolaos Bellas, Sek M. Chai, Malcolm Dwyer, Dan Linzmeier Template-Based Generation of Streaming Accelators from a High Level Presentation. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Debapriya Chatterjee, Andrew DeOrio, Valeria Bertacco Event-driven gate-level simulation with GP-GPUs. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF gate-level simulation, general purpose graphics processing unit (GP-GPU), high-performance simulation
6Trevor Meyerowitz, Alberto L. Sangiovanni-Vincentelli, Mirko Sauermann, Dominik Langen Source-Level Timing Annotation and Simulation for a Heterogeneous Multiprocessor. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Hristo Nikolov, Mark Thompson 0001, Todor P. Stefanov, Andy D. Pimentel, Simon Polstra, Raj Bose, Claudiu Zissulescu, Ed F. Deprettere Daedalus: toward composable multimedia MP-SoC design. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF system-level design and synthesis, design space exploration
6Andrea Marongiu, Luca Benini, Mahmut T. Kandemir Lightweight barrier-based parallelization support for non-cache-coherent MPSoC platforms. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF code parallelization, MPSoCs, barrier synchronization
6Carlos Morra, M. Sackmann, Sunil Shukla, Jürgen Becker 0001, Reiner W. Hartenstein From Equation to VHDL: Using Rewriting Logic for Automated Function Generation. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Weifeng Xu, Ramshankar Ramanarayanan, Russell Tessier Adaptive Fault Recovery for Networked Reconfigurable Systems. Search on Bibsonomy FCCM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
6Colin J. Ihrig, Rami G. Melhem, Alex K. Jones Automated modeling and emulation of interconnect designs for many-core chip multiprocessors. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF simulation, interconnection network, emulation, multi-core, many-core
6Kristofer Vorwerk, Madhu Raman, Julien Dunoyer, Yaun-Chung Hsu, Arun Kundu, Andrew A. Kennings A technique for minimizing power during FPGA placement. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Anish Muttreja, Anand Raghunathan, Srivaths Ravi 0001, Niraj K. Jha Hybrid Simulation for Energy Estimation of Embedded Software. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Eckard Böde, Marc Herbstritt, Holger Hermanns, Sven Johr, Thomas Peikenkamp, Reza Pulungan, Ralf Wimmer 0001, Bernd Becker 0001 Compositional Performability Evaluation for STATEMATE. Search on Bibsonomy QEST The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Greg Stitt, Zhi Guo, Walid A. Najjar, Frank Vahid Techniques for synthesizing binaries to an advanced register/memory structure. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF smart buffers, FPGA, embedded systems, synthesis, decompilation, binaries
6Greg Stitt, Frank Vahid A Decompilation Approach to Partitioning Software for Microprocessor/FPGA Platforms. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
6Jiangwei Huang, Tianzhou Chen, Minjiao Ye, Yi Lian The Modeling for Dynamic Power Management of Embedded Systems. Search on Bibsonomy ICESS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
6Vasilios Kalenteridis, Haroula Pournara, Kostas Siozios, Konstantinos Tatas, George Koutroumpezis, Ilias Pappas 0001, Spiridon Nikolaidis 0001, Stilianos Siskos, D. J. Soudris, Adonios Thanailakis An Integrated FPGA Design Framework: Custom Designed FPGA Platform and Application Mapping Toolset Development. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Low Power FPGA interconnect architecture, CLB Architecture, Graphical User Interface
6Eui-Young Chung, Luca Benini, Giovanni De Micheli, Gabriele Luculli, Marco Carilli Value-sensitive automatic code specialization for embedded software. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
6Armita Peymandoust, Tajana Simunic, Giovanni De Micheli Low Power Embedded Software Optimization Using Symbolic Algebra. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
6Rafi Levy, David T. Blaauw, Gabi Braca, Aurobindo Dasgupta, Amir Grinshpon, Chanhee Oh, Boaz Orshav, Supamas Sirichotiyakul, Vladimir Zolotov ClariNet: a noise analysis tool for deep submicron design. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
4Perry H. Wang, Jamison D. Collins, Christopher T. Weaver, Belliappa Kuttanna, Shahram Salamian, Gautham N. Chinya, Ethan Schuchman, Oliver Schilling, Thorsten Doil, Sebastian Steibl, Hong Wang 0003 Intel® atomTM processor core made FPGA-synthesizable. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF intel atom, synthesizable core, fpga, emulator
4George Kiokes, Nikolaos K. Uzunoglu Development of a simulation Environment for Vehicular communications, implementation of FEC coding chain in Xilinx FPGA based on IEEE 802.11p standard. Search on Bibsonomy WOWMOM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
4Cheoljoo Jeong, Steven M. Nowick Technology Mapping and Cell Merger for Asynchronous Threshold Networks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4Seda Ogrenci Memik, Nikolaos Bellas, Somsubhra Mondal Presynthesis Area Estimation of Reconfigurable Streaming Accelerators. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4Michael A. Gora, Eric Simpson, Patrick Schaumont Intellectual Property Protection for Embedded Sensor Nodes. Search on Bibsonomy SAMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4Daniel Kästner, Reinhard Wilhelm, Reinhold Heckmann, Marc Schlickling, Markus Pister 0002, Marek Jersak, Kai Richter 0001, Christian Ferdinand Timing Validation of Automotive Software. Search on Bibsonomy ISoLA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4Shuming Chen, Xiangyuan Liu A Low-Latency and Low-Power Hybrid Insertion Methodology for Global Interconnects in VDSM Designs. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF differential-signaling, insertion methodology, on-chip interconnects, low-swing
4Sjoerd Meijer, Bart Kienhuis, Johan Walters, David Snuijf Automatic partitioning and mapping of stream-based applications onto the Intel IXP Network processor. Search on Bibsonomy SCOPES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
4Heiner Giefers, Marco Platzner A Many-core Implementation based on the Reconfigurable Mesh Model. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
4Colin J. Ihrig, Justin Stander, Alex K. Jones Pipelining Tradeoffs of Massively Parallel SuperCISC Hardware Functions. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
4Frank Bouwens, Mladen Berekovic, Andreas Kanstein, Georgi Gaydadjiev Architectural Exploration of the ADRES Coarse-Grained Reconfigurable Array. Search on Bibsonomy ARC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
4Carlos Morra Configware Design Space Exploration Using Rewriting Logic. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
4Klaus Danne, Roland Mühlenbernd, Marco Platzner Executing Hardware Tasks on Dynamically Reconfigurable Devices Under Real-Time Conditions. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
4Patrick Lysaght, Brandon Blodget, Jeff Mason, Jay Young, Brendan Bridgford Invited Paper: Enhanced Architectures, Design Methodologies and CAD Tools for Dynamic Reconfiguration of Xilinx FPGAs. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
4Miroslav N. Velev Using Abstraction for Efficient Formal Verification of Pipelined Processors with Value Prediction. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
4Konrad J. Kulikowski, Alexander B. Smirnov, Alexander Taubin Automated Design of Cryptographic Devices Resistant to Multiple Side-Channel Attacks. Search on Bibsonomy CHES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
4Robert B. Reese, Mitchell A. Thornton, Cherrice Traver A Coarse-Grain Phased Logic CPU. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF asynchronous, pipelined processor, Automatic synthesis, self-timed, micropipelines
4Christian Sauer 0001, Matthias Gries, Sören Sonntag Modular Reference Implementation of an IP-DSLAM. Search on Bibsonomy ISCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
4Yuki Kobayashi, Murali Jayapala, Praveen Raghavan, Francky Catthoor, Masaharu Imai Operation Shuffling for Low Energy L0 Cluster Generation on Heterogeneous VLIW Processors. Search on Bibsonomy ESTIMedia The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
4Kazutoshi Wakabayashi System LSI design with C-based behavioral synthesis and verification. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
4Christian Plessl, Marco Platzner Zippy - A coarse-grained reconfigurable array with support for hardware virtualization. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
4Christian Sauer 0001, Matthias Gries, Sören Sonntag, Dietmar Toelle, Bo Wu, Rudi Knorr Trends in Access Networks and their Implementation in DSLAMs. Search on Bibsonomy LCN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
4Prosenjit Chatterjee Streamline verification process with formal property verification to meet highly compressed design cycle. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF formal verification
4Anish Muttreja, Anand Raghunathan, Srivaths Ravi 0001, Niraj K. Jha Hybrid simulation for embedded software energy estimation. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF energy macromodels, embedded software, pointers analysis, energy estimation, hybrid simulation
4Kelvin Ng, Alan J. Hu, Jin Yang Generating Monitor Circuits for Simulation-Friendly GSTE Assertion Graphs. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
4Christian Plessl, Marco Platzner Instance-Specific Accelerators for Minimum Covering. Search on Bibsonomy J. Supercomput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF instance-specific acceleration, minimum covering, reconfigurable computing
4Armita Peymandoust, Tajana Simunic, Giovanni De Micheli Complex instruction and software library mapping for embedded software using symbolic algebra. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Miroslav N. Velev Collection of High-Level Microprocessor Bugs from Formal Verification of Pipelined and Superscalar Designs. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Francesco Papariello, Gabriele Luculli Optimization of a Retargetable Functional Simulator for Embedded Processors. Search on Bibsonomy ECBS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF retargetable ISS, platform design, system-on-chip, embedded processors, system-level design
4Kolja Sulimma, Wolfgang Kunz, Ingmar Neumann, Lukas P. P. P. van Ginneken Improving Placement under the Constant Delay Model. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
4Kee Sup Kim, Rathish Jayabharathi, Craig Carstens SpeedGrade: An RTL Path Delay Fault Simulator. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
4Chris Feige, Jan Ten Pierick, Clemens Wouters, Ronald J. W. T. Tangelder, Hans G. Kerkhoff Integration of the Scan-Test Method into an Architecture Specific Core-Test Approach. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF bus-transfer, core reuse, test protocol, TIC, TTM, vector transfer, design-for-testability, ATPG, scan-test, AMBA
Displaying result #1 - #100 of 101 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license