The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase trace-driven (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1969-1976 (15) 1983-1989 (18) 1990-1991 (21) 1992-1993 (27) 1994 (27) 1995 (26) 1996 (22) 1997 (28) 1998 (18) 1999 (32) 2000 (32) 2001 (30) 2002 (31) 2003 (45) 2004 (44) 2005 (44) 2006 (52) 2007 (59) 2008 (54) 2009 (40) 2010 (22) 2011-2013 (16) 2014-2016 (15) 2017-2019 (21) 2020-2023 (16)
Publication types (Num. hits)
article(191) incollection(1) inproceedings(563)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1025 occurrences of 550 keywords

Results
Found 755 publication records. Showing 755 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
83Xiaohan Qin, Jean-Loup Baer A comparative study of conservative and optimistic trace-driven simulations. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF optimistic trace-driven simulations, conservative trace-driven simulations, parallel trace driven simulation, parallel simulation schemes, shared references, Time Warp like algorithm, debugging effort, general architectural simulation, optimistic scheme, parallel algorithms, parallel architectures, virtual machines, multiprocessing systems, multiprocessor systems, memory hierarchy, memory architecture, comparative study, time warp simulation
76Richard Uhlig, Trevor N. Mudge Trace-Driven Memory Simulation: A Survey. Search on Bibsonomy Performance Evaluation The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
76Ching-Farn Eric Wu, Yew-Huey Liu, Yarsun Hsu Timestamp consistency and trace-driven analysis for distributed parallel systems. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF IBM computers, timestamp consistency, trace-driven analysis, distributed parallel systems, continuous event data stream, parallel program execution progress, separate streams, logical event order, local clock discrepancy, performance analysis techniques, IBM SPn systems, system events, minimal trace overhead, trace-driven analysis tools, NAS kernel benchmarks, performance evaluation, parallel processing, message passing, message passing, timing, parallel machines, clocks, system monitoring, integrated approach, multiple processors
67Pradeep K. Dubey, Ravi Nair Profile-Driven Generation of Trace Samples. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF performance analysis, profiling, Trace driven simulation, trace generation
66Xiaofeng Gao 0003, Allan Snavely, Larry Carter Path Grammar Guided Trace Compression and Trace Approximation. Search on Bibsonomy HPDC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF path grammar guided trace compression, trace approximation, PGGTC, Sequitur algorithm, selective dumping, memory signature, parallel computer, computer architecture, parallel machine, trace-driven simulation, cache storage
63Rahman Hassan, Antony Harris, Nigel P. Topham, Aristides Efthymiou Synthetic Trace-Driven Simulation of Cache Memory. Search on Bibsonomy AINA Workshops (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
63Richard Uhlig, Trevor N. Mudge Trace-Driven Memory Simulation: A Survey. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF memory simulation, caches, memory management, trace-driven simulation, TLBs
50Ravi Bhargava, Lizy Kurian John, Francisco Matus Accurately modeling speculative instruction fetching in trace-driven simulation. Search on Bibsonomy IPCCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
48Onur Aciiçmez, Çetin Kaya Koç Trace-Driven Cache Attacks on AES (Short Paper). Search on Bibsonomy ICICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF trace-driven attacks, AES, Side-channel Analysis, cache attacks
47Angela Sampogna, David R. Kaeli, Daniel Green, Michael Silva, Christopher J. Sniezek Performance Modeling Using Object-Oriented Execution-Driven Simulation. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
45Han Wan, Xiaopeng Gao, Xiang Long, Zhiqiang Wang GCSim: A GPU-Based Trace-Driven Simulator for Multi-level Cache. Search on Bibsonomy APPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallel algorithms, caches, GPGPU, CUDA, trace-driven simulation
45Wei Wang, Qigang Wang, Wei Wei, Dong Liu Evaluating Heterogeneous Memory Model by Realistic Trace-Driven Hardware/Software Co-simulation. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Performance model, Memory architecture, Trace-driven simulation
45Cosimo Antonio Prete, Gianpaolo Prina, Luigi M. Ricciardi A Trace-Driven Simulator for Performance Evaluation of Cache-Based Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multiple cache consistency, performance analysis, multiprocessor, Cache memory, trace-driven simulation, coherence protocol
45David M. Nicol, Albert G. Greenberg, Boris D. Lubachevsky Massively Parallel Algorithms for Trace-Driven Cache Simulations. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF massively parallel algorithms, trace-driven cache simulations, least-recently-used policy, EREW parallel model, algorithm timings, reference-based line replacement policies, least-frequently-used policy, random replacement policy, space overhead, SIMD implementation, parallel algorithms, computational complexity, parallel architectures, trace, buffer storage, program diagnostics, simulation algorithm, MasPar MP-1
45Craig B. Stunkel, W. Kent Fuchs TRAPEDS: Producing Traces for Multicomputers Via Execution Driven Simulation. Search on Bibsonomy SIGMETRICS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF Intel 80386
43Dohyung Kim 0007, Youngmin Yi, Soonhoi Ha Trace-driven HW/SW cosimulation using virtual synchronization technique. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF trace-driven cosimulation, virtual synchronization
41Anant Agarwal, Minor Huffman Blocking: Exploiting Spatial Locality for Trace Compaction. Search on Bibsonomy SIGMETRICS The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
40Sanjeev Setia Trace-driven Analysis of Migration-based Gang Scheduling Policies for Parallel Computers. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF parallel supercomputers, performance evaluation, Job scheduling, trace-driven simulation, gang-scheduling
40Songnian Zhou A Trace-Driven Simulation Study of Dynamic Load Balancing. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF homogeneous distributed systems, job transfer, job response times, scheduling, scheduling, broadcasting, distributed databases, dynamic load balancing, trace-driven simulation, CPU, input/output, input-output programs, message exchange
40Wei Jin, Xiaobai Sun, Jeffrey S. Chase FastSlim: prefetch-sfe trace reduction for I/O cache simulation. Search on Bibsonomy ACM Trans. Model. Comput. Simul. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF I/O architectures, performance evaluation, operating systems, prefetching, virtual memory, trace-driven simulation, file caching, trace reduction
40Aleksandar Milenkovic, Milena Milenkovic An efficient single-pass trace compression technique utilizing instruction streams. Search on Bibsonomy ACM Trans. Model. Comput. Simul. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Instruction and data traces, instruction streams, trace compression
40Andrew B. Kahng, Bill Lin 0001, Kambiz Samadi, Rohit Sunkam Ramanujam Trace-driven optimization of networks-on-chip configurations. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF networks-on-chip, virtual channel, greedy heuristics
38Han Wan, Xiaopeng Gao, Zhiqiang Wang Cache simulator based on GPU acceleration. Search on Bibsonomy SimuTools The full citation details ... 2009 DBLP  DOI  BibTeX  RDF trace-driven, multi-core, GPGPU, CUDA, cache simulator
38Niki C. Thornock, J. Kelly Flanagan A national trace collection and distribution resource. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
38Nicholas Ironmonger Trace-Splitting for the Parallel Simulation of Cache Memory. Search on Bibsonomy PARLE The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
36Stephen R. Goldschmidt, John L. Hennessy The Accuracy of Trace-Driven Simulations of Multiprocessors. Search on Bibsonomy SIGMETRICS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
36Mustafa M. Tikir, Michael Laurenzano, Laura Carrington, Allan Snavely PSINS: An Open Source Event Tracer and Execution Simulator for MPI Applications. Search on Bibsonomy Euro-Par The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Message Passing Applications, High Performance Computing, Performance Prediction, Supercomputers, Trace-Driven Simulation
36Anthony-Trung Nguyen, Pradip Bose, Kattamuri Ekanadham, Ashwini K. Nanda, Maged M. Michael Accuracy and Speedup of Parallel Trace-Driven Architectural Simulation. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
36Mark A. Holliday, Carla Schlatter Ellis Accuracy of Memory Reference Traces of Parallel Computations in Trace-Driven Simulation. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF load sequences, memory reference traces, trace-drive simulation, global trace, shared memory multiprocessingenvironment, address change points, address affecting points, process traces, intrinsic trace, address flow graph, store sequences, partial program reexecution, graph-traceable, parallel program, parallel programming, parallel computations, memory management, storage management, memory architecture, path expressions
35Yusuke Toji, Shingo Ata, Ikuo Oka On the Use of Anonymized Trace Data for Performance Evaluation in IP Routers. Search on Bibsonomy APNOMS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Sangyeun Cho, Socrates Demetriades, Shayne Evans, Lei Jin 0002, Hyunjin Lee, Kiyeon Lee, Michael Moeng TPTS: A Novel Framework for Very Fast Manycore Processor Architecture Simulation. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Stephen J. Walsh, John A. Board Pollution control caching. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF pollution control caching, high speed processors, bandwidth mismatch, standard DRAMS, on-chip caches, miss ratio statistics, expected clock cycles per instruction, main memory latencies, PCC+VB, discrete event simulation, memory architecture, trace driven simulation, cache storage, memory performance, ANOVA, DRAM chips
34Thomas M. Conte, Kishore N. Menezes, Sumedh W. Sathaye A technique to determine power-efficient, high-performance superscalar processors. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high-performance superscalar processors, processor performance advances, thermal power dissipation, architectural power estimates, systematic techniques, user benchmarks, architectural component, real estate usage, superscalar execution units, architectural power measurement, near-optimal search, power-efficient superscalar processors, performance evaluation, parallel architectures, simulated annealing, simulated annealing, parallel machines, power consumption, trace-driven simulation
34Jason P. Casmira, John Fraser, David R. Kaeli, Waleed Meleis Operating System Impact on Trace-Driven Simulation. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
34Luca P. Carloni, Patrick C. McGeer, Alexander Saldanha, Alberto L. Sangiovanni-Vincentelli Trace driven logic synthesis - application to power minimization. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Low Power, Logic Synthesis
33Ben Lauwens, Jan Potemans, Bart Scheers, Antoine Van de Capelle Hybrid simulation of a FIFO queuing system with trace-driven background traffic. Search on Bibsonomy VALUETOOLS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FIFO queuing system, trace-driven, simulation, large deviations, hybrid simulation
33Zhao Wu, Wayne H. Wolf Trace-Driven Studies of VLIW Video Signal Processors. Search on Bibsonomy SPAA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF VSP, trace-driven scheduling, parallelism, parallel architecture, MPEG, VLIW, media processor, video applications
33Dominique Thiébaut, Joel L. Wolf, Harold S. Stone Synthetic Traces for Trace-Driven Simulation of Cache Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF synthetic address traces, infinite address-space, synthetic traces, random walk, digital simulation, cache memories, memory architecture, trace-driven simulation, buffer storage, content-addressable storage
33Wen-Hann Wang, Jean-Loup Baer Efficient Trace-Driven Simulation Methods for Cache Performance Analysis. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF cache memory, trace-driven simulation, trace reduction
32Harit Modi, Lawrence Spracklen, Yuan Chou, Santosh G. Abraham Accurate Modeling of Aggressive Speculation in Modern Microprocessor Architectures. Search on Bibsonomy MASCOTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Yiannakis Sazeides, Stamatis Vassiliadis, James E. Smith 0001 The Performance Potential of Data Dependence Speculation & Collapsing. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF address generation-load dependences, address prediction rate, base instruction level parallel machine, dependence collapsing, performance potential, true data dependences, parallel programming, trace-driven simulation, data dependence speculation, address prediction
31Vidyadhar Phalke, B. Gopinath An Inter-Reference Gap Model for Temporal Locality in Program Behavior. Search on Bibsonomy SIGMETRICS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF memory replacement, trace compaction, prediction, Markov chains, trace driven simulation, dynamic memory management, locality of reference
29Anthony-Trung Nguyen, Maged M. Michael, Arun Sharma, Josep Torrellas The Augmint multiprocessor simulation toolkit for Intel x86 architectures. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Augmint multiprocessor simulation toolkit, Intel x86 architectures, publicly available simulation tools, instruction mix, memory reference patterns, CISC architectures, execution driven multiprocessor simulation toolkit, m4 macro extended C, C++ applications, SPLASH-2 benchmark suites, thread based programming model, shared global address space, private stack space, simulator interface, MINT simulation toolkit, x8d based uniprocessor systems, multiprocessing systems, trace driven simulation, architecture simulators, uniprocessors
29Richard Uhlig, David Nagle, Trevor N. Mudge, Stuart Sechrest Trap-driven Simulation with Tapeworm II. Search on Bibsonomy ASPLOS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF trap-driven simulation, cache, trace-driven simulation, memory system, TLB
29Poul E. Heegaard, Bjarne E. Helvik, Ragnar Ø. Andreassen Application of rare event techniques to trace driven simulation. Search on Bibsonomy WSC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Tao Wang 0004, Qigang Wang, Dong Liu, Michael Liao, Kevin Wang, Lu Cao, Li Zhao 0002, Ravi R. Iyer 0001, Ramesh Illikkal, John Du, Liang Wang Hardware/Software Co-Simulation for Last Level Cache Exploration. Search on Bibsonomy NAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Thomas Ball, James R. Larus Optimally Profiling and Tracing Programs. Search on Bibsonomy POPL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
29David A. Wood 0001, Mark D. Hill, Richard E. Kessler A Model for Estimating Trace-Sample Miss Ratios. Search on Bibsonomy SIGMETRICS The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
26Jidong Zhai, Wenguang Chen, Weimin Zheng PHANTOM: predicting performance of parallel applications on large-scale parallel machines using a single node. Search on Bibsonomy PPoPP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance prediction, trace-driven simulation, parallel application, deterministic replay
26Rachid El Abdouni Khayari, Adisa Musovic, Axel Lehmann 0001, Peter Fellinger A workload based adaptive scheduling algorithm for web server. Search on Bibsonomy SpringSim The full citation details ... 2009 DBLP  DOI  BibTeX  RDF web workload, scheduling, web server, trace driven simulation, adaptive methods
26Abdolreza Abhari, Adam Serbinski, Miso Gusic Improving the performance of Apache web server. Search on Bibsonomy SpringSim (1) The full citation details ... 2007 DBLP  BibTeX  RDF web page structure, web server, web caching, trace driven simulation, proxy cache
26Mingwei Gong, Carey L. Williamson Simulation Evaluation of Hybrid SRPT Scheduling Policies. Search on Bibsonomy MASCOTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Scheduling, Performance Analysis, Trace-Driven Simulation, Web Server Performance
26Li Xiao 0001, Songqing Chen, Xiaodong Zhang 0001 Dynamic Cluster Resource Allocations for Jobs with Known and Unknown Memory Demands. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF memory-intensive workloads and trace-driven simulations, distributed systems, cluster computing, load sharing
26Caroline Benveniste, Peter A. Franaszek, John T. Robinson Cache-Memory Interfaces in Compressed Memory Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF memory compression, performance analysis, trace-driven simulation, cache design, Memory system design
26Donghee Lee 0001, Jongmoo Choi, Jong-Hun Kim, Sam H. Noh, Sang Lyul Min, Yookun Cho, Chong-Sang Kim LRFU: A Spectrum of Policies that Subsumes the Least Recently Used and Least Frequently Used Policies. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF LFU, trace-driven simulation, replacement policy, LRU, Buffer cache
26Li Xiao 0001, Xiaodong Zhang 0001, Yanxia Qu Effective Load Sharing on Heterogeneous Networks of Workstations. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF load sharing networks of workstations, remote executions and trace-driven simulations, distributed computing, heterogeneous systems
26Mor Harchol-Balter, Allen B. Downey Exploiting Process Lifetime Distributions for Dynamic Load Balancing. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF load balancing, migration, network of workstations, trace-driven simulation, load sharing, workload modeling, Pareto distribution, remote execution, heavy-tailed
26P. Bosch, A. Carloganu, Daniel Etiemble Complete x86 instruction trace generation from hardware bus collect. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF complete x86 instruction trace generation, hardware bus collect, architectural improvements, benchmark traces, hardware/software approach, x86 execution traces, commercial analyzer, computer architecture, microprocessors, memory hierarchies, trace driven simulation, performance data
26Yunn Yen Chen, Jih-Kwon Peir, Chung-Ta King Performance of Shared Cache on Multithreaded Architectures. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF shared cache performance, trace-driven simulation technique, storage hierarchy system, multithreaded execution environment, multithread scheduling techniques, server/workstation workload mix, MRU priority scheduling scheme, round-robin scheduling method, absolute hit ratio, concurrent threads, simulation, performance evaluation, parallel architectures, shared memory systems, processor scheduling, cache storage, multithreaded architectures, program traces, set associativity, cache size, direct-map cache
26Thomas M. Conte, Sanjeev Banerjia, Sergei Y. Larin, Kishore N. Menezes, Sumedh W. Sathaye Instruction Fetch Mechanisms for VLIW Architectures with Compressed Encodings. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF TINKER experimental testbed, compressed encodings, compressed instruction encoding, i-fetch hardware, instruction fetch mechanisms, instruction words, multiple instruction issue, silo cache, parallel architectures, trace-driven simulations, instruction cache, VLIW architectures
26Roger Espasa, Mateo Valero Decoupled Vector Architectures. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF decoupled vector architectures, trace driven approach, Perfect Club programs, realistic memory latencies, bypassing technique, total memory traffic, performance advantages, performance evaluation, performance, vector processor, vector processor systems, hardware cost
26Sigrún Andradóttir, Teunis J. Ott Time-Segmentation Parallel Simulation of Networks of Queues with Loss or Communication Blocking. Search on Bibsonomy ACM Trans. Model. Comput. Simul. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF communication blocking, initialization bias problem, time segmentation, coupling, parallel simulation, trace-driven simulation, communication systems, queueing systems, loss
26Bernd Mohr, Allen D. Malony, Kesavan Shanmugam Speedy: An Integrated Performance Extrapolation Tool for pC++ Programs. Search on Bibsonomy MMB The full citation details ... 1995 DBLP  DOI  BibTeX  RDF object-parallel programming, performance debugging tools, modeling, performance prediction, trace-driven simulation, extrapolation
26Gyungho Lee An assessment of COMA multiprocessors. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Cache Only Memory Architecture, Perfect Club Benchmark Suite, coherence policy, performance evaluation, performance, discrete event simulation, memory hierarchy, shared memory systems, distributed memory systems, update, trace driven simulations, cache storage, network traffic, miss ratio, distributed shared memory multiprocessors, shared address space, invalidate
26Qidong Xu, Patricia J. Teller Unified vs. split TLBs and caches in shared-memory MP systems. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF data references, performance evaluation, caches, discrete event simulation, shared-memory multiprocessors, shared memory systems, trace-driven simulations, cache storage, performance gains, translation-lookaside buffer
26John-David Wellman, Edward S. Davidson The resource conflict methodology for early-stage design space exploration of superscalar RISC processors. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF resource conflict methodology, early-stage design space exploration, superscalar RISC processors, execution trace driven simulation, hardware element model, analysis program, performance evaluation, virtual machines, computer architecture, reduced instruction set computing, design cycle
26Jen-Yao Chwng, Donald F. Ferguson, George Wang, Christos Nikolaou, Jim Teng Goal-oriented dynamic buffer pool management for data base systems. Search on Bibsonomy ICECCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF goal-oriented dynamic buffer pool management, dynamic buffer pool size adjustment, multiple buffer pools, complex constrained optimization problem, random access response time goal, database workload, pre-defined response time goals, goal satisfaction algorithm, dynamic tuning, relational databases, optimisation, database management systems, transaction processing, storage management, trace driven simulations, buffer storage, virtual storage
26David J. Lilja The Impact of Parallel Loop Scheduling Strategies on Prefetching in a Shared Memory Multiprocessor. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF parallel loop scheduling, numerical Fortran programs, single-word cache blocks, guidedself-scheduling, scheduling, parallel programming, prefetching, shared memory multiprocessor, shared memory systems, cache coherence, trace-driven simulations, buffer storage, data caches, memory performance, false sharing, performanceevaluation, cache pollution
26Benjamin G. Zorn, Dirk Grunwald Evaluating Models of Memory Allocation. Search on Bibsonomy ACM Trans. Model. Comput. Simul. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF program behavior modeling, program measurement, trace-driven simulation, dynamic storage allocation, model evaluation
26Siegfried Grabner, Dieter Kranzlmüller Monitoring for Detecing Bugs and Blocking Communication. Search on Bibsonomy CONPAR The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Distributed Memory Debugging, Communication Events, Monitoring, Trace Driven Simulation, Event Graph
26Kumar K. Goswami, Murthy V. Devarakonda, Ravishankar K. Iyer Prediction-Based Dynamic Load-Sharing Heuristics. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF predicted resource requirements, distributed system, pattern recognition, distributed processing, trace driven simulations, load-sharing, resource prediction
26David J. Lilja, Pen-Chung Yew Improving Memory Utilization in Cache Coherence Directories. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF memory utilization, cache coherence directories, large-scale shared memorymultiprocessors, software-directed schemes, compile-time memory disambiguation, dynamically tagged directory, high-level sharing information, configurationmanagement, discrete event simulation, compiler optimizations, program compilers, shared memory systems, trace-driven simulations, buffer storage, storage allocation, interprocedural analysis
26Erhard Rahm Empirical Performance Evaluation of Concurrency and Coherency Control Protocols for Database Sharing Systems. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF coherency control, database partitioning, database sharing, performance analysis, concurrency control, trace-driven simulation, shared nothing, shared disk
26Sang Lyul Min, Jean-Loup Baer Design and Analysis of a Scalable Cache Coherence Scheme Based on Clocks and Timestamps. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF cache contents reuse, scalable cache coherence, multiple privatecaches, compile-time marking, hardware-based local incoherence detection, program flow, parallel programming, shared memory multiprocessors, storage management, clocks, trace-driven simulation, buffer storage, timestamps, references
26Bryan Black, Andrew S. Huang, Mikko H. Lipasti, John Paul Shen Can Trace-Driven Simulators Accurately Predict Superscalar Performance? Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Jiun-Ming Hsu, Prithviraj Banerjee Performance Measurement and Trace Driven Simulation of Parallel CAD and Numeric Applications on a Hypercube Multicomputer. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF parallel CAD, realistic workloads, time interval distributions, statistical functions, nonlinear regression technique, message destinations, trace-drive simulation environment, performance evaluation, performance evaluation, parallel programs, parallel programming, benchmarks, statistical analysis, hypercube networks, digital simulation, workload characterization, execution traces, temporal locality, spatial locality, hypercube multicomputer, software monitoring, DMA, message length, link utilizations
26Wen-Hann Wang, Jean-Loup Baer Efficient Trace-Driven Simulation Methods for Cache Performance Analysis. Search on Bibsonomy SIGMETRICS The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
26Pierre Michaud Online compression of cache-filtered address traces. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Patrício Domingues, Paulo Marques, Luís Moura Silva DGSchedSim: A Trace-Driven Simulator to Evaluate Scheduling Algorithms for Desktop Grid Environments. Search on Bibsonomy PDP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Roberto Giorgi, Cosimo Antonio Prete, Gianpaolo Prina, Luigi M. Ricciardi Trace Factory: generating workloads for trace-driven simulation of shared-bus multiprocessors. Search on Bibsonomy IEEE Concurrency The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
24J. Kelly Flanagan, Brent E. Nelson, James K. Archibald, Gregory D. Thompson The Inaccuracy of Trace-Driven Simulation Using Incomplete Mulitprogramming Trace Data. Search on Bibsonomy MASCOTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
24J. Kelly Flanagan, Brent E. Nelson, James K. Archibald, Knuth Stener Grimsrud Incomplete Trace Data and Trace Driven Simulation. Search on Bibsonomy MASCOTS The full citation details ... 1993 DBLP  BibTeX  RDF
22Jian-Guang Luo, Qian Zhang 0001, Yun Tang, Shi-Qiang Yang A Trace-Driven Approach to Evaluate the Scalability of P2P-Based Video-on-Demand Service. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Youngmin Yi, Dohyung Kim 0007, Soonhoi Ha Fast and Accurate Cosimulation of MPSoC Using Trace-Driven Virtual Synchronization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Bill Anderson Mass Storage System Performance Prediction Using a Trace-Driven Simulator. Search on Bibsonomy MSST The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Rachid El Abdouni Khayari, Michael Best, Axel Lehmann 0001 Impact of Document Types on the Performance of Caching Algorithms in WWW Proxies: A Trace Driven Simulation Study. Search on Bibsonomy AINA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Dingqing Hu, Changsheng Xie, Bin Cai A Study of Parallel Prefetching Algorithms Using Trace-Driven Simulation. Search on Bibsonomy PDCAT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Jack P. C. Kleijnen, Russell C. H. Cheng, Bert Bettonvil VV&A; IV: validation of trace-driven simulation models: more on bootstrap tests. Search on Bibsonomy WSC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Prabuddha Biswas, K. K. Ramakrishnan Trace Driven Analysis of Write Caching Policies for Disks. Search on Bibsonomy SIGMETRICS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
21Kiyeon Lee, Shayne Evans, Sangyeun Cho Accurately approximating superscalar processor performance from traces. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Xianfeng Li, Hemendra Singh Negi, Tulika Mitra, Abhik Roychoudhury Design space exploration of caches using compressed traces. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF compressed trace, single pass simulation, cache, design space exploration
21Elizabeth A. M. Shriver, Bruce Hillyer, Abraham Silberschatz Performance Analysis of Storage Systems. Search on Bibsonomy Performance Evaluation The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Niki C. Thornock, J. Kelly Flanagan Facilitating level three cache studies using set sampling. Search on Bibsonomy WSC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Sujoy Basu, Sumit Roy 0002, Raj Kumar, Tom Fisher, Bruce E. Blaho Peppermint and Sled: Tools for Evaluating SMP Systems Based on IA-64 (IPF) Processors. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF simulation, architecture, IA-64
19Jeffrey B. Rothman, Alan Jay Smith Multiprocessor Memory Reference Generation Using Cerberus. Search on Bibsonomy MASCOTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Multiprocessor Memory References, Execution Driven Simulation, Program Tracing
19Derek B. Noonburg, John Paul Shen A Framework for Statistical Modeling of Superscalar Processor Performance. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Anant Agarwal, Mark Horowitz, John L. Hennessy An Analytical Cache Model. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
19Kiyeon Lee, Sangyeun Cho In-N-Out: Reproducing Out-of-Order Superscalar Processor Behavior from Reduced In-Order Traces. Search on Bibsonomy MASCOTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Superscalar out-of-order processor, performance modeling, trace-driven simulation
19Andreas Riener Simulating On-the-Road Behavior Using a Driving Simulator. Search on Bibsonomy ACHI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF driving experiments, driver-vehicle interaction (DVI), feedback modalities, performance evaluation, user-centered design, trace-driven simulation
19Xin Liu 0027, Anwitaman Datta Redundancy Maintenance and Garbage Collection Strategies in Peer-to-Peer Storage Systems. Search on Bibsonomy SSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF peer-to-peer storage-systems, redundancy maintenance, garbage collection, trace-driven simulations
Displaying result #1 - #100 of 755 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license