The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for voltage with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1954-1977 (16) 1978-1986 (21) 1987-1988 (24) 1989-1990 (23) 1991-1992 (30) 1993 (41) 1994 (63) 1995 (98) 1996 (78) 1997 (109) 1998 (183) 1999 (305) 2000 (221) 2001 (370) 2002 (465) 2003 (632) 2004 (616) 2005 (921) 2006 (1008) 2007 (1002) 2008 (1035) 2009 (752) 2010 (623) 2011 (670) 2012 (825) 2013 (917) 2014 (934) 2015 (1030) 2016 (972) 2017 (1066) 2018 (1169) 2019 (1384) 2020 (1344) 2021 (1292) 2022 (1277) 2023 (1316) 2024 (363)
Publication types (Num. hits)
article(10138) book(5) data(18) incollection(28) inproceedings(12931) phdthesis(75)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4075 occurrences of 1437 keywords

Results
Found 23195 publication records. Showing 23195 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
78Chunhong Chen Physical design with multiple on-chip voltages. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
66Hendra Saputra, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Jie S. Hu, Chung-Hsing Hsu, Ulrich Kremer Energy-conscious compilation based on voltage scaling. Search on Bibsonomy LCTES-SCOPES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF energy-aware compilation, optimizing compilers, voltage scaling, loop transformations
65Luis Henrique de Carvalho Ferreira, Tales Cleber Pimenta, Robson L. Moreno, Wilhelmus A. M. Van Noije Ultra low-voltage ultra low-power CMOS threshold voltage reference. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low power, CMOS, low voltage, threshold voltage, voltage reference
62Ravi K. Venkatesan, Ahmed S. Al-Zawawi, Krishnan Sivasubramanian, Eric Rotenberg ZettaRAM: A Power-Scalable DRAM Alternative through Charge-Voltage Decoupling. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF memory technology, dynamic voltage scaling, DRAM, molecular electronics, molecular memory, low-power memory
61Bita Gorjiara, Nader Bagherzadeh, Pai H. Chou An efficient voltage scaling algorithm for complex SoCs with few number of voltage modes. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF stochastic gradient search, scheduling, optimization, power management, dynamic voltage scaling (DVS), heterogeneous systems, multi-processor systems
60Selçuk Köse, Eby G. Friedman On-chip point-of-load voltage regulator for distributed power supplies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dc-dc voltage regulation, on-chip voltage regulator, power delivery, active filter
58Abhishek A. Sinkar, Nam Sung Kim Analyzing potential power reduction with adaptive voltage positioning optimized for multicore processors. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF adaptive voltage positioning, multicore processor
56Huizhan Yi, Xuejun Yang Optimizing the Configuration of Dynamic Voltage Scaling Points in Real-Time Applications. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
54Jongsoo Yim, Gunbae Kim, Incheol Nam, Sangki Son, Jonghyoung Lim, Hwacheol Lee, Sangseok Kang, Byungheon Kwak, Jinseok Lee, Sungho Kang A Prevenient Voltage Stress Test Method for High Density Memory. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF voltage stress test, acceleration factor, burn-in test, junction temperature, constant voltage stress, voltage ramp stress, reliability
52Kuo-Hsing Cheng, Shun-Wen Cheng, Che-Yu Liao 64-bit Low Threshold Voltage High-Speed Conditional Carry Adder by Complementary Pass-Transistor Logi. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF CPL, conditional sum adder, low-threshold voltage, differential-end, VLSI design, low-voltage
52Volkan Kursun, Eby G. Friedman Node Voltage Dependent Subthreshold Leakage Current Characteristics of Dynamic Circuits. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
52Dylan Dah-Chuan Lu, David Ki-Wai Cheng, Yim-Shu Lee Single-switch flyback power-factor-corrected AC/DC converter with loosely regulated intermediate storage capacitor voltage. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
50Puru Choudhary, Diana Marculescu Hardware based frequency/voltage control of voltage frequency island systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dynamic frequency and voltage scaling, mixed-clock fifos, voltage-frequency islands, throughput, globally asynchronous locally synchronous
50Jonathan T.-Y. Chang, Edward J. McCluskey Quantitative analysis of very-low-voltage testing. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF very-low-voltage testing, static CMOS chips, supply voltage, rated conditions, early-life failures, test conditions, test speed, VLSI, VLSI, integrated circuit testing, CMOS integrated circuits, failure analysis, quantitative analysis, threshold voltage, integrated circuit noise
50Bo Zhai, David T. Blaauw, Dennis Sylvester, Krisztián Flautner The limit of dynamic voltage scaling and insomniac dynamic voltage scaling. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
50Adnan Khashman, Kadri Buruncuk, Samir Jabr Intelligent Detection of Voltage Instability in Power Distribution Systems. Search on Bibsonomy IWANN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Voltage Instability Detection, Neural networks, Image Processing, Intelligent Systems, Power Distribution Systems
50Sherif A. Tawfik, Volkan Kursun Dual signal frequencies and voltage levels for low power and temperature-gradient tolerant clock distribution. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dual-Vth, supply voltage scaling, temperature variations, clock skew, frequency scaling, dual-VDD
50Royce L. S. Ching, Evangeline F. Y. Young, Kevin C. K. Leung, Chris C. N. Chu Post-placement voltage island generation. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF tree, floorplanning, voltage island
50Jingcao Hu, Youngsoo Shin, Nagu R. Dhanwada, Radu Marculescu Architecting voltage islands in core-based system-on-a-chip designs. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multiple VDD, low-power, floorplanning, system-on-a-chip, voltage island
50Bo Zhai, David T. Blaauw, Dennis Sylvester, Krisztián Flautner Theoretical and practical limits of dynamic voltage scaling. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF minimum energy point, dynamic voltage scaling
50Seokwoo Lee, Shidhartha Das, Toan Pham, Todd M. Austin, David T. Blaauw, Trevor N. Mudge Reducing pipeline energy demands with local DVS and dynamic retiming. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF dynamic retiming with global DVS, local DVS, razor
48Erik J. Mentze, Kevin M. Buck, Herbert L. Hess, David Cox, Mohammad M. Mojarradi A Low Voltage to High Voltage Level Shifter in a Low Voltage, 0.25 µm, PD SOI Process. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
48Han-Saem Yun, Jihong Kim 0001 On energy-optimal voltage scheduling for fixed-priority hard real-time systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF real-time systems, approximation algorithms, dynamic voltage scaling, Fixed-priority scheduling, fully polynomial time approximation scheme, variable voltage processor
46Woo-Cheol Kwon, Taewhan Kim Optimal voltage allocation techniques for dynamically variable voltage processors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scheduling, low power design, Dynamic voltage scaling, variable voltage processor
46Qadeer Ahmad Khan, Sanjay Kumar Wadhwa, Kulbhushan Misri A Low Voltage Switched-Capacitor Current Reference Circuit with low dependence on Process, Voltage and Temperature. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Weixun Wang, Prabhat Mishra 0001 PreDVS: preemptive dynamic voltage scaling for real-time systems using approximation scheme. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF real-time systems, approximation algorithm, dynamic voltage scaling, energy-aware scheduling
46Huaizhi Wu, Martin D. F. Wong, Wilsin Gosti Postplacement voltage assignment under performance constraints. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF voltage assignment, Low power, timing, Voronoi diagram
46Le Yan, Lin Zhong 0001, Niraj K. Jha User-perceived latency driven voltage scaling for interactive applications. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF adaptive body biasing, computer responsiveness, dynamic voltage scaling, power consumption
46Yann-Hang Lee, Yoonmee Doh, C. Mani Krishna 0001 EDF scheduling using two-mode voltage-clock-scaling for hard real-time systems. Search on Bibsonomy CASES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF dynamic reclaiming, energy and power optimization, scheduling, real-time systems, voltage scaling
44Huaizhi Wu, Martin D. F. Wong, I-Min Liu Timing-constrained and voltage-island-aware voltage assignment. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF voltage assignment, low power, timing, voronoi diagram
44Koichi Nose, Soo-Ik Chae, Takayasu Sakurai Voltage dependent gate capacitance and its impact in estimating power and delay of CMOS digital circuits with low supply voltage (poster session). Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF gate capacitance, low supply voltage, low-power design
44Juan José Carrillo, Elkim Roa, José Vieira, Wilhelmus A. M. Van Noije A low-voltage bandgap reference source based on the current-mode technique. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CMOS voltage reference, temperature coefficient, analog circuits, low voltage
44Amin Ansari, Shuguang Feng, Shantanu Gupta, Scott A. Mahlke Enabling ultra low voltage system operation by tolerating on-chip cache failures. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fault-tolerant cache, low voltage operation, dynamic voltage scaling
44Filipe G. Ramos, Laercio Caldeira, Tales Cleber Pimenta A programmable voltage reference optimized for power management applications. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF DC/DC converter, programmable voltage reference, power management, voltage reference
44Zhiyu Liu, Volkan Kursun Robust Dynamic Node Low Voltage Swing Domino Logic with Multiple Threshold Voltages. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Low Voltage Swing, Gate Oxide Leakage, Domino Logic, Subthreshold Leakage, Dual Threshold Voltage
44Jeffrey A. Barnett Dynamic Task-Level Voltage Scheduling Optimizations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dynamic voltage scheduling, agile voltage scheduling, power management points, energy management, Energy-aware systems, time management
44Jonathan T.-Y. Chang, Edward J. McCluskey SHOrt voltage elevation (SHOVE) test for weak CMOS ICs. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF short voltage elevation test, SHOVE test, voltage stress, reliability screening, oxide thinning, via defect, complementary logic gate, domino logic gate, functional test, CMOS integrated circuits, IDDQ test, transistor, CMOS IC
44Yuyun Liao, D. M. H. Walker Optimal voltage testing for physically-based faults. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF optimal voltage testing, physically-based faults, resistive bridges, gate outputs, pattern sensitive functional faults, transmission gates, fault diagnosis, logic testing, delays, integrated circuit testing, automatic testing, fault coverage, CMOS logic circuits, delay faults, Iddq tests, CMOS circuits, logic gates, test vector, noise margin, selection strategy, low-voltage testing, integrated circuit noise
44Yuchun Ma, Xiang Qiu, Xiangqing He, Xianlong Hong Incremental power optimization for multiple supply voltage design. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
44Rajesh Garg, Gagandeep Mallarapu, Sunil P. Khatri A Single-supply True Voltage Level Shifter. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
44Ankur Gupta, Rajat Chauhan, Vinod Menezes, Vikas Narang, H. M. Roopashree A Robust Level-Shifter Design for Adaptive Voltage Scaling. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
44Jingmeng Liu, Tianmiao Wang, Dong Xu 0005, Lipeng Sun Research on high-voltage inverter controlling system based on wave algorithm. Search on Bibsonomy RAM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
44Jiong Luo, Niraj K. Jha, Li-Shiuan Peh Simultaneous Dynamic Voltage Scaling of Processors and Communication Links in Real-Time Distributed Embedded Systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
44Kayhan Gulez, Ibrahim Aliskan, Tarik Veli Mumcu, Galip Cansever Neural Network Based Control of AC-AC Converter for Voltage Sags, Harmonics and EMI Reduction. Search on Bibsonomy ICIC (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
44Chia-Wei Chang, Tien-Yu Lo, Chia-Min Chen, Kuo-Hsi Wu, Chung-Chih Hung A Low-Power CMOS Voltage Reference Circuit Based On Subthreshold Operation. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
44Taewhan Kim Application-Driven Low-Power Techniques Using Dynamic Voltage Scaling. Search on Bibsonomy RTCSA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
44Abdulkadir Utku Diril, Yuvraj Singh Dhillon, Abhijit Chatterjee, Adit D. Singh Level-shifter free design of low power dual supply voltage CMOS circuits using dual threshold voltages. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
44Huizhan Yi, Xuejun Yang, Juan Chen 0001 The Optimal Profile-Guided Greedy Dynamic Voltage Scaling in Real-Time Applications. Search on Bibsonomy ICESS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
44Anirudh Devgan Transient simulation of integrated circuits in the charge-voltage plane. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
44Anirudh Devgan Efficient and accurate transient simulation in charge-voltage plane. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
44Wanli Jiang, Eric Peterson Performance Comparison of VLV, ULV, and ECR Tests. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF very low voltage test, dynamic current test, test threshold, test effectiveness, test efficiency
44Mark C. Johnson, Kaushik Roy 0001 Datapath scheduling with multiple supply voltages and level converters. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF datapath scheduling, level conversion, scheduling, high-level synthesis, low power design, DSP, power optimization, multiple voltage
42Christian Jesús B. Fayomi, Gilson I. Wirth, Jaime Ramírez-Angulo, Akira Matsuzawa "The flipped voltage follower"-based low voltage fully differential CMOS sample-and-hold circuit. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
42Cosmin Popa Low-Voltage Low-Power Curvature-Corrected Voltage Reference Circuit Using DTMOSTs. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
42Ming-Dou Ker, Hung-Tai Liao Design of Mixed-Voltage Crystal Oscillator Circuit in Low-Voltage CMOS Technology. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
42Erik J. Mentze, Herbert L. Hess, Kevin M. Buck, T. G. Windley A Scalable High-Voltage Output Driver for Low-Voltage CMOS Technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Jaewon Seo, Nikil D. Dutt A generalized technique for energy-efficient operating voltage set-up in dynamic voltage scaled processors. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
42Nestoras Tzartzanis, William W. Walker A Transparent Voltage Conversion Method and Its Application to a Dual-Supply-Voltage Register File. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
42Pavel Ghosh, Arunabha Sen Efficient mapping and voltage islanding technique for energy minimization in NoC under design constraints. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF greedy randomized heuristic, multi-processor system-on-chip (MPSoC), integer linear program, network-on-chip (NoC), voltage islanding
42Jia Zhao, Basab Datta, Wayne P. Burleson, Russell Tessier Thermal-aware voltage droop compensation for multi-core architectures. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF monitor network-on-chip, thermal monitor, voltage emergency
42Noraliza Hamzah, Hanim Ismail, Zuhaina Zakaria The Application of Support Vector Machine in Classifying the Causes of Voltage Sag in Power System. Search on Bibsonomy RSKT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF voltage sag, support vector machine, radial basis function, polynomial, Power quality
42Wen Chang Huang, Jin Chang Cheng, Po Chih Liou A Charge Pump Circuit - Cascading High-Voltage Clock Generator. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF voltage doubler, Charge pump
42Dipanjan Sengupta, Resve A. Saleh Application-driven floorplan-aware voltage island design. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dynamic programming, energy, floorplan, voltage island
42Seung Woo Son 0001, Konrad Malkowski, Guilin Chen, Mahmut T. Kandemir, Padma Raghavan Reducing energy consumption of parallel sparse matrix applications through integrated link/CPU voltage scaling. Search on Bibsonomy J. Supercomput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Parallel sparse matrix, Computation, Dynamic voltage scaling, Communication networks, Energy consumption
42Changbo Long, Sasank Reddy, Sudhakar Pamarti, Lei He 0001, Tanay Karnik Power-efficient pulse width modulation DC/DC converters with zero voltage switching control. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF DC/DC conversion, zero voltage switching
42Jean Michel Daga, E. Ottaviano, Daniel Auvergne Temperature Effect on Delay for Low Voltage Applications. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF temperature effect, derating, Low power, delay, Low voltage
42Seong-Ook Jung, Ki-Wook Kim, Sung-Mo Kang Low-swing clock domino logic incorporating dual supply and dual threshold voltages. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF low swing clock, low power, domino logic, dual supply voltage, dual threshold voltage
40Kim M. Hazelwood, David M. Brooks Eliminating voltage emergencies via microarchitectural voltage control feedback and dynamic optimization. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF voltage emergencies, power-aware computing, hardware-software co-design, dI/dt
40Leila Koushaeian, Stan Skafidas A 65nm CMOS low-power, low-voltage bandgapreference with using self-biased composite cascode opamp. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bandgap voltage reference, self-biased, self-cascode, temperature coefficient, voltage reference
40Tay-Jyi Lin, Pi-Chen Hsiao, Chi-Hung Lin, Shu-Chang Kuo, Chou-Kun Lin, Yu-Ting Kuo, Chih-Wei Liu, Yuan-Hua Chu Collaborative voltage scaling with online STA and variable-latency datapath. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF collaborative voltage scaling, online STA, variable-latency datapath, adaptive voltage scaling
40Bei Yu 0001, Sheqin Dong, Satoshi Goto, Song Chen 0001 Voltage-island driven floorplanning considering level-shifter positions. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF convex network flow, level shifter assignment, voltage assignment, white space redistribution, voltage-island
40Matthew Seetharam A. Holtz, Seetharam Narasimhan, Swarup Bhunia On-die CMOS voltage droop detection and dynamiccompensation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF di/dt voltage droop, on-die voltage droop compensation, power supply droop, predictive current injection
40Omid Mirmotahari, Yngvar Berg Low Voltage Design against Power Analysis Attacks. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Low Voltage (LV), Floating-Gate (FG) and supply current analysis, Differential Power Analysis (DPA), Ultra Low Voltage (ULV)
40Maha Nizam, Farid N. Najm, Anirudh Devgan Power grid voltage integrity verification. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF voltage integrity, power grid, voltage drop
40Sunghyun Lee, Kiyoung Choi, Sungjoo Yoo An intra-task dynamic voltage scaling method for SoC design with hierarchical FSM and synchronous dataflow model. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF variable supply voltage, low power, finite state machine, dynamic voltage scaling, formal model, synchronous dataflow
39Huaizhi Wu, Martin D. F. Wong Incremental Improvement of Voltage Assignment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
39Licheng Jin, Ratnesh Kumar 0001, Nicola Elia Security constrained emergency voltage stabilization: A Model Predictive Control based approach. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
39Pavel Ghosh, Arunabha Sen Energy minimization using a greedy randomized heuristic for the voltage assignment problem in NoC. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
39Alexandru Andrei, Petru Eles, Zebo Peng, Marcus T. Schmitz, Bashir M. Al-Hashimi Energy Optimization of Multiprocessor Systems on Chip by Voltage Selection. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Qiang Ma 0002, Evangeline F. Y. Young Voltage island-driven floorplanning. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Huaizhi Wu, Martin D. F. Wong Improving Voltage Assignment by Outlier Detection and Incremental Placement. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Eric Karl, Dennis Sylvester, David T. Blaauw Timing error correction techniques for voltage-scalable on-chip memories. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Kazuki Fukuoka, Masaaki Iijima, Kenji Hamada, Masahiro Numa, Akira Tada A Novel Layout Approach Using Dual Supply Voltage Technique on Body-Tied PD-SOI. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
39Saowanee Saewong, Ragunathan Rajkumar Practical Voltage-Scaling for Fixed-Priority RT-Systems. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
39Jinson Koppanalil, Prakash Ramrakhyani, Sameer Desai, Anu Vaidyanathan, Eric Rotenberg A case for dynamic pipeline scaling. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF configurable pipeline, fetch gating, power and energy management, shallow and deep pipelines, variable-depth pipeline, dynamic voltage scaling, clock gating
38Ali Keshavarzi, Gerhard Schrom, Stephen Tang, Sean Ma, Keith A. Bowman, Sunit Tyagi, Kevin Zhang 0001, Tom Linton, Nagib Hakim, Steven G. Duvall, John Brews, Vivek De Measurements and modeling of intrinsic fluctuations in MOSFET threshold voltage. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Vt mismatch, Vt variation, random dopant variation, threshold voltage variation, transistor mismatch, transistor threshold voltage mismatch, process variation, CMOS, integrated circuits, variation, transistors, threshold voltage, mismatch, body bias, Vt
38Felipe Rodríguez Valdés, Julio Avila, Raymundo A. Caballero Pimentel, David Castro Baltasar Breakdown Voltage Behavior Under High Voltage and Frequency Signals on Isolating Solid Materials. Search on Bibsonomy CONIELECOMP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Ming-Dou Ker, Shih-Lun Chen, Chia-Sheng Tsai Design on mixed-voltage I/O buffer with blocking NMOS and dynamic gate-controlled circuit for high-voltage-tolerant applications. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Ferdinando Bedeschi, Edoardo Bonizzoni, Andrea Fantini, Claudio Resta, Guido Torelli A low-power low-voltage MOSFET-only voltage reference. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Volkan Kursun, Siva G. Narendra, Vivek De, Eby G. Friedman High Input Voltage Step-Down DC-DC Converters for Integration in a Low Voltage CMOS Process. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Fernando Muñoz 0001, Antonio J. López-Martín, Ramón González Carvajal, Jaime Ramírez-Angulo, Antonio Jesús Torralba Silgado, Meghraj Kachare, Bernardo Palomo Vázquez Extremely low supply voltage circuits based on quasi-floating gate supply voltage boosting. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
38Makoto Ikeda, Hideyuki Aoki, Kunihiro Asada DVDT: Design for Voltage Drop Test Using Onchip-Voltage Scan Path. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
38Tohru Ishihara, Hiroto Yasuura Voltage scheduling problem for dynamically variable voltage processors. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
38Zhiyu Zeng, Xiaoji Ye, Zhuo Feng, Peng Li 0001 Tradeoff analysis and optimization of power delivery networks with on-chip voltage regulation. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF low-dropout regulator, on-chip voltage regulation, power delivery network, power efficiency
38Wan-Ping Lee, Diana Marculescu, Yao-Wen Chang Post-floorplanning power/ground ring synthesis for multiple-supply-voltage designs. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multiple-supply voltage designs, physical design, floorplanning, vlsi
38Jungseob Lee, Nam Sung Kim Optimizing total power of many-core processors considering voltage scaling limit and process variations. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF voltage and frequency scaling, process variations, parallel applications, many-core processor
38M. Ali-Bakhshian, K. Sadeghi A novel continuous-time common-mode feedback for low-voltage switched-OPAMP. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF CMFB, delta-sigma, switched-OPAMP, low-voltage, continuous-time
38Jaewon Seo, Taewhan Kim, Ki-Seok Chung Profile-based optimal intra-task voltage scheduling for hard real-time applications. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF intra-task voltage scheduling, DVS, low energy design
38Ankush Varma, Brinda Ganesh, Mainak Sen, Suchismita Roy Choudhury, Lakshmi Srinivasan, Bruce L. Jacob A control-theoretic approach to dynamic voltage scheduling. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF nqPID, low-power, dynamic voltage scaling, PID
38Ed Grochowski, David Ayers, Vivek Tiwari Microarchitectural Simulation and Control of di/dt-induced Power Supply Voltage Variation. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Power delivery, supply voltage drop, simulation, microprocessor, inductive noise, di/dt
Displaying result #1 - #100 of 23195 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license