The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for LFSRs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1987-1993 (15) 1994-1998 (19) 1999-2001 (16) 2002-2003 (25) 2004-2005 (21) 2006-2007 (26) 2008-2010 (16) 2011-2018 (15) 2019-2023 (8)
Publication types (Num. hits)
article(58) incollection(1) inproceedings(102)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 270 occurrences of 127 keywords

Results
Found 161 publication records. Showing 161 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
13François Arnault, Thierry P. Berger, Cédric Lauradoux, Marine Minier X-FCSR - A New Software Oriented Stream Cipher Based Upon FCSRs. Search on Bibsonomy INDOCRYPT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cryptanalysis, stream cipher, software design, FCSRs
13Martin Straka, Jiri Tobola, Zdenek Kotásek Checker Design for On-line Testing of Xilinx FPGA Communication Protocols. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Subir K. Roy, Rubin A. Parekhji Modeling Techniques for Formal Verification of BIST Controllers and Their Integration into SOC Designs. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Suresh Kumar Devanathan, Michael L. Bushnell Test Pattern Generation Using Modulation by Haar Wavelets and Correlation for Sequential BIST. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Hong-Sik Kim, Sungho Kang 0001 Increasing encoding efficiency of LFSR reseeding-based test compression. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Hani Rizk, Christos A. Papachristou, Francis G. Wolff A Self Test Program Design Technique for Embedded DSP Cores. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF self test programs, pseudorandom BIST, LSFR, DSP, ATPG
13Xuexian Hu, Yongtao Ming, Wenfen Liu, Shiqu Li On the Rate of Coincidence of Two Clock-Controlled Combiners. Search on Bibsonomy Inscrypt The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Rate of Coincidence, Probabilistic Model, Combiner, Clock-Controlled
13Matthias Krause 0001, Dirk Stegemann Reducing the Space Complexity of BDD-Based Attacks on Keystream Generators. Search on Bibsonomy FSE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Bluetooth E0, GSM A5/1, cryptanalysis, Stream cipher, BDD, self-shrinking generator
13Jing-Shiun Lin, Chung-Kung Lee, Ming-Der Shieh, Jun-Hong Chen High-speed CRC design for 10 Gbps applications. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Avijit Dutta, Nur A. Touba Synthesis of Efficient Linear Test Pattern Generators. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Dhiraj K. Pradhan, Chunsheng Liu EBIST: a novel test generator with built-in fault detection capability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Frederik Armknecht, Gwénolé Ars Introducing a New Variant of Fast Algebraic Attacks and Minimizing Their Successive Data Complexity. Search on Bibsonomy Mycrypt The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Peter Filter, Hana Kubátová Improvement of the Fault Coverage of the Pseudo-Random Phase in Column-Matching BIST. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Antoine Joux, Frédéric Muller Two Attacks Against the HBB Stream Cipher. Search on Bibsonomy FSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13C. V. Krishna, Abhijit Jas, Nur A. Touba Achieving high encoding efficiency with partial dynamic LFSR reseeding. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF linear finite shift register, compression, Built-in self-test, reseeding
13Hani Rizk, Christos A. Papachristou, Francis G. Wolff Designing Self Test Programs for Embedded DSP Cores. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Frederik Armknecht, Stefan Lucks Linearity of the AES Key Schedule. Search on Bibsonomy AES Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Nicolas T. Courtois Algebraic Attacks on Combiners with Memory and Several Outputs. Search on Bibsonomy ICISC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13P. Karpodinis, Dimitri Kagaris, Dimitris Nikolos Accumulator based Test-per-Scan BIST. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Slobodan Petrovic, Amparo Fúster-Sabater Clock Control Sequence Reconstruction in the Ciphertext Only Attack Scenario. Search on Bibsonomy ICICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Irregular clocking, Cryptanalysis, Edit distance, Correlation attack, Directed search
13Krishna Sekar, Sujit Dey LI-BIST: A Low-Cost Self-Test Scheme for SoC Logic Cores and Interconnects. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF LI-BIST, crosstalk test, BIST, SoC test, low-power test
13Grzegorz Mrugalski, Jerzy Tyszer, Janusz Rajski 2D Test Sequence Generators. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Maciej Bellos, Dimitri Kagaris, Dimitris Nikolos Low Power Test Set Embedding Based on Phase Shifters. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Dhiraj K. Pradhan, Chunsheng Liu, Krishnendu Chakrabarty EBIST: A Novel Test Generator with Built-In Fault Detection Capability. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Sarbani Palit, Bimal K. Roy, Arindom De A Fast Correlation Attack for LFSR-Based Stream Ciphers. Search on Bibsonomy ACNS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF LFSR polynomial, Correlation immune function, Stream cipher, Correlation attack
13Nicolas T. Courtois, Willi Meier Algebraic Attacks on Stream Ciphers with Linear Feedback. Search on Bibsonomy EUROCRYPT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Algebraic attacks on stream ciphers, factoring multivariate polynomials, overdefined problems, ciphertext-only attacks, Toyocrypt, Cryptrec, LILI-128, Boolean functions, nonlinear filtering, pseudo-random generators, Nessie, XL algorithm, multivariate equations
13Frederik Armknecht, Matthias Krause 0001 Algebraic Attacks on Combiners with Memory. Search on Bibsonomy CRYPTO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Emmanouil Kalligeros, Xrysovalantis Kavousianos, Dimitris Bakalis, Dimitris Nikolos On-the-Fly Reseeding: A New Reseeding Technique for Test-Per-Clock BIST. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF test-per-clock schemes, accumulator-based test pattern generators, built-in self-test, linear feedback shift registers, reseeding
13Wei-Lun Wang, Kuen-Jong Lee An Efficient Deterministic Test Pattern Generator for Scan-Based BIST Environment. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF mixed-mode pattern generation, built-in self-test, power consumption, test application time, scan chain
13Subhamoy Maitra, Kishan Chand Gupta, Ayineedi Venkateswarlu Multiples of Primitive Polynomials and Their Products over GF(2). Search on Bibsonomy Selected Areas in Cryptography The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Matthias Krause 0001 BDD-Based Cryptanalysis of Keystream Generators. Search on Bibsonomy EUROCRYPT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Se Ah Choi, Kyeongcheol Yang Balanced Shrinking Generators. Search on Bibsonomy ICISC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Period, Linear Complexity, Balancedness, Statistical Properties, Shrinking Generator, Self-Shrinking Generator
13Krishna Sekar, Sujit Dey LI-BIST: A Low-Cost Self-Test Scheme for SoC Logic Cores and Interconnects. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Palash Sarkar 0001 The Filter-Combiner Model for Memoryless Synchronous Stream Ciphers. Search on Bibsonomy CRYPTO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF synchronous stream ciphers, nonlinear filter model, nonlinear combiner model, filter-combiner model, cellular automata, linear feedback shift registers
13Ayineedi Venkateswarlu, Subhamoy Maitra Further Results on Multiples of Primitive Polynomials and Their Products over GF(2). Search on Bibsonomy ICICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Nonlinear Combiner Model, Primitive Polynomials & Their Products, Cryptanalysis, Stream Cipher, Galois Field, Polynomial Multiples
13Sybille Hellebrand, Huaguo Liang, Hans-Joachim Wunderlich A Mixed Mode BIST Scheme Based on Reseeding of Folding Counters. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF store and generate schemes, BIST, deterministic BIST
13Kishan Chand Gupta, Subhamoy Maitra Primitive Polynomials over GF(2) - A Cryptologic Approach. Search on Bibsonomy ICICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Cyclotomic Cosets, Stream Cipher, Galois field, Primitive Polynomials
13Wei-Lun Wang, Kuen-Jong Lee Accelerated test pattern generators for mixed-mode BIST environments. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF accelerated test pattern generators, mixed-mode BIST, pseudorandom patterns, deterministic patterns, scan-based built-in self-test, multiple sub-chains, multiple sequence generator, fault diagnosis, logic testing, built-in self test, integrated circuit testing, automatic test pattern generation, fault coverage, linear feedback shift registers, cost, test pattern generator, shift registers, test application time, scan chain, mixed analogue-digital integrated circuits, clock cycle, integrated circuit economics
13Ming-Der Shieh, Hsin-Fu Lo, Ming-Hwa Sheu High-speed generation of LFSR signatures. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF high-speed generation, LFSR signatures, compaction simulation, single-input signature register, equivalent multiple-input implementation, finite field theory, high-speed signature computations, lookahead technique, internal-XOR LFSR, external-XOR LFSR, performance evaluation, logic testing, built-in self test, integrated circuit testing, automatic test pattern generation, BIST, linear feedback shift register, binary sequences, subsequences
13Anne Canteaut, Michaël Trabbia Improved Fast Correlation Attacks Using Parity-Check Equations of Weight 4 and 5. Search on Bibsonomy EUROCRYPT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Dimitrios Kagaris, Spyros Tragoudas Pseudoexhaustive TPG with a Provably Low Number of LFSR Seeds. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Eric Filiol Decimation Attack of Stream Ciphers. Search on Bibsonomy INDOCRYPT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF sequence decimation, multiple clocking, Stream cipher, linear feedback shift register, correlation attack, fast correlation attack
13Abhijit Jas, Bahram Pouya, Nur A. Touba Virtual Scan Chains: A Means for Reducing Scan Length in Cores. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Compression/Decompression, Virtual Scan, Built-In Self-Test, Mapping, Design-for-Testability, LFSR, System Integrator, Integrated Circuits, Integrated Circuits, Scan Chains, Embedded Cores, Digital Testing, Reseeding
13Ioannis Voyiatzis, Antonis M. Paschalis, Dimitris Nikolos, Constantin Halatsis An Accumulator-Based BIST Approach for Two-Pattern Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF stuck-open fault testing, built-in self test, delay fault testing, two-pattern testing
13Tomasz Garbolino, Andrzej Hlawiczka A New LFSR with D and T Flip-Flops as an Effective Test Pattern Generator for VLSI Circuits. Search on Bibsonomy EDCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Jan Otterstedt, Dirk Niggemeyer, T. W. Williams Detection of CMOS address decoder open faults with March and pseudo random memory tests. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
13Rodrigue Byrne Determining Aliasing Probabilities in BIST by Counting Strings. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF response analysis architectures, compression techniques, aliasing probabilities, deterministic finite automata
13Jovan Dj. Golic Cryptanalysis of Alleged A5 Stream Cipher. Search on Bibsonomy EUROCRYPT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
13Dimitrios Kagaris, Spyros Tragoudas A multiseed counter TPG with performance guarantee. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF built-in test pattern generators, multiseed counter test pattern generator, low hardware overhead, fast CAD tool, ISCAS'85 benchmarks, hardware/time overhead, built-in self test, performance guarantee, test set generation
13Shujian Zhang, Rod Byrne, Jon C. Muzio, D. Michael Miller Quantitative analysis for linear hybrid cellular automata and LFSR as built-in self-test generators for sequential faults. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF linear hybrid cellular automata, sequential fault, transition capability, built-in self-test, linear feedback shift register, linear finite state machine
13Chen-Yang Pan, Kwang-Ting Cheng Pseudo-random testing and signature analysis for mixed-signal circuits. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Signature Analysis, Random Process, Pseudo-Random Testing, Impulse Response
13Mitrajit Chatterjee, Dhiraj K. Pradhan A novel pattern generator for near-perfect fault-coverage. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF near-perfect fault-coverage, onchip BIST, GLFSR, logic mapping technique, weighted pattern technique, logic testing, built-in self test, integrated circuit testing, design methodology, combinational circuits, automatic testing, integrated logic circuits, shift registers, combinational logic, digital integrated circuits, pattern generator, single stuck-at fault
13Dimitrios Kagaris, Fillia Makedon, Spyros Tragoudas A method for pseudo-exhaustive test pattern generation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
13Don Coppersmith, Hugo Krawczyk, Yishay Mansour The Shrinking Generator. Search on Bibsonomy CRYPTO The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
13Slawomir Pilarski, Kevin James Wiebe Counter-based compaction: An analysis for BIST. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF compaction by counter, edge counting, one's counting, transition counting, built-in self-test, linear feedback shift register, signature analysis, Aliasing probability, test response compaction
13Paul H. Bardell Discrete logarithms a parallel pseudorandom pattern generator analysis method. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF phaseshift, pseudorandom pattern generator, Cellular automata, LFSR, discrete logarithms
13Kewal K. Saluja, Chin-Foo See An Efficient Signature Computation Method. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
13Paul H. Bardell Design considerations for Parallel pseudoRandom Pattern Generators. Search on Bibsonomy J. Electron. Test. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
13Peizhong Lu, Song Guowen Feasible Calculation of the Generator for Combined LFSR Sequences. Search on Bibsonomy AAECC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
13Laung-Terng Wang, Edward J. McCluskey Circuits for pseudoexhaustive test pattern generation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
13S. B. Tan, K. Totton, Keith Baker, Prab Varma, R. Porter A Fast Signature Simulation Tool for Built-In Self-Testing Circuits. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #161 of 161 (100 per page; Change: )
Pages: [<<][1][2]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license