The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase sub-threshold (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1993-2002 (19) 2003-2004 (19) 2005 (18) 2006 (29) 2007 (22) 2008 (33) 2009 (23) 2010 (19) 2011 (27) 2012 (28) 2013 (15) 2014 (26) 2015 (23) 2016 (15) 2017 (17) 2018 (19) 2019-2020 (22) 2021-2022 (20) 2023 (7)
Publication types (Num. hits)
article(127) book(1) inproceedings(271) phdthesis(2)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 139 occurrences of 109 keywords

Results
Found 401 publication records. Showing 401 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Benton H. Calhoun, Jonathan F. Bolus, Sudhanshu Khanna, Andrew D. Jurik, Alfred C. Weaver, Travis N. Blalock Sub-threshold Operation and Cross-hierarchy Design for Ultra Low Power Wearable Sensors. Search on Bibsonomy ISCAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Matthew J. Turnquist, Erkka Laulainen, Jani Mäkipää, Hannu Tenhunen, Lauri Koskinen Adaptive Sub-Threshold Test Circuit. Search on Bibsonomy AHS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Chi-Ying Tsui, Robert Yi-Ching Au, Ricky Yiu-kee Choi Minimizing the dynamic and sub-threshold leakage power consumption using least leakage vector-assisted technology mapping. Search on Bibsonomy Integr. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Jonggab Kil, Jie Gu 0003, Chris H. Kim A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Sona P. Kumar, Anju Agrawal, Rishu Chaujar, Mridula Gupta, R. S. Gupta Performance assessment and sub-threshold analysis of gate material engineered AlGaN/GaN HEMT for enhanced carrier transport efficiency. Search on Bibsonomy Microelectron. J. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Omer Can Akgun, Yusuf Leblebici Energy Efficiency Comparison of Asynchronous and Synchronous Circuits Operating in the Sub-Threshold Regime. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Hyunju Ham, Toshimasa Matsuoka, Kenji Taniguchi 0001 Sub-threshold signal detection using noise statistics for communications applications. Search on Bibsonomy ICECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15David T. Blaauw, James Kitchener, Braden Phillips Optimizing addition for sub-threshold logic. Search on Bibsonomy ACSCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Mesut Meterelliyoz, Peilin Song, Franco Stellari, Jaydeep P. Kulkarni, Kaushik Roy 0001 A high sensitivity process variation sensor utilizing sub-threshold operation. Search on Bibsonomy CICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Niklas Lotze, Maurits Ortmanns, Yiannos Manoli Variability of flip-flop timing at sub-threshold voltages. Search on Bibsonomy ISLPED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Syed Imtiaz Haider, Leyla Nazhandali Utilizing sub-threshold technology for the creation of secure circuits. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Farshad Moradi, Dag T. Wisland, Snorre Aunet, Hamid Mahmoodi, Tuan Vu Cao 65NM sub-threshold 11T-SRAM for ultra low voltage applications. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Benton H. Calhoun, Anantha P. Chandrakasan A 256-kb 65-nm Sub-threshold SRAM Design for Ultra-Low-Voltage Operation. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Yoshioki Isobe, Kiyohito Hara, Dondee Navarro, Youichi Takeda, Tatsuya Ezaki, Mitiko Miura-Mattausch Shot Noise Modeling in Metal-Oxide-Semiconductor Field Effect Transistors under Sub-Threshold Condition. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Hui Shao, Chi-Ying Tsui A robust, input voltage adaptive and low energy consumption level converter for sub-threshold logic. Search on Bibsonomy ESSCIRC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Bo Zhai Ultra-low power processor design using sub-threshold design techniques. Search on Bibsonomy 2007   RDF
15Benton H. Calhoun, Anantha P. Chandrakasan Static noise margin variation for sub-threshold SRAM in 65-nm CMOS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Benton H. Calhoun, Anantha P. Chandrakasan Ultra-dynamic Voltage scaling (UDVS) using sub-threshold operation and local Voltage dithering. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Nikolaos P. Papadopoulos, Alkis A. Hatzopoulos, Dimitris K. Papakostas, C. A. Dimitriadis, Stilianos Siskos Modeling the impact of light on the performance of polycrystalline thin-film transistors at the sub-threshold region. Search on Bibsonomy Microelectron. J. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Alice Wang, Benton H. Calhoun, Anantha P. Chandrakasan Sub-threshold Design for Ultra Low-Power Systems Search on Bibsonomy 2006   DOI  RDF
15Behnam Amelifard, Farzan Fallah, Massoud Pedram Reducing the sub-threshold and gate-tunneling leakage of SRAM cells using Dual-Vt and Dual-Tox assignment. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Vivienne Sze, Raúl Blázquez, Manish Bhardwaj, Anantha P. Chandrakasan An Energy Efficient Sub-Threshold Baseband Processor Architecture for Pulsed Ultra-Wideband Communications. Search on Bibsonomy ICASSP (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Minato Kawaguchi, Hiroyuki Mino, Dominique M. Durand Information Transmission in Hippocampal CA1 Neuron Models in the Presence of Poisson Shot Noise: the Case of Periodic Sub-threshold Spike Trains. Search on Bibsonomy EMBC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Benton H. Calhoun, Anantha P. Chandrakasan A 256kb Sub-threshold SRAM in 65nm CMOS. Search on Bibsonomy ISSCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Benton H. Calhoun, Anantha P. Chandrakasan Analyzing static noise margin for sub-threshold SRAM in 65nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Carlos Aguirre, Doris Campos, Pedro Pascual, Eduardo Serrano Neuronal Behavior with Sub-threshold Oscillations and Spiking/Bursting Activity Using a Piecewise Linear Two-Dimensional Map. Search on Bibsonomy ICANN (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Benton H. Calhoun Low energy digital circuit design using sub-threshold operation. Search on Bibsonomy 2005   RDF
15Rahul M. Rao, Jeffrey L. Burns, Richard B. Brown Circuit techniques for gate and sub-threshold leakage minimization in future CMOS technologies. Search on Bibsonomy ESSCIRC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Gregor Wenning, Klaus Obermayer Adjusting stochastic resonance in a leaky integrate and fire neuron to sub-threshold stimulus distributions. Search on Bibsonomy Neurocomputing The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Frank Sill, Frank Grassert, Dirk Timmermann Total leakage power optimization with improved mixed gates. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF MVT, leakage currents, threshold voltage
14Nikolay N. Elkin, Anatoly P. Napartovich, Alexander G. Sukharev, Dmitri V. Vysotsky 3D Modelling of Diode Laser Active Cavity. Search on Bibsonomy NAA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Xin Fu, Tao Li, José A. B. Fortes Soft error vulnerability aware process variation mitigation. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Enno de Lange, Martin Hasler Predicting single spikes and spike patterns with the Hindmarsh-Rose model. Search on Bibsonomy Biol. Cybern. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Hindmarsh-Rose model, Parameter fitting, Quantitative neuron modeling, Spike-timing, Neocortical neurons, Nonlinear optimization, Nonlinear dynamics, Bifurcation analysis
14Ashesh Rastogi, Wei Chen, Alodeep Sanyal, Sandip Kundu An Efficient Technique for Leakage Current Estimation in Sub 65nm Scaled CMOS Circuits Based on Loading Effect. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Jung Hwan Choi, Aditya Bansal, Mesut Meterelliyoz, Jayathi Murthy, Kaushik Roy 0001 Leakage power dependent temperature estimation to predict thermal runaway in FinFET circuits. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Youngbok Kim, Anuj Agarwal, Sameer R. Sonkusale Low power current mode ADC for CMOS sensor IC. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Ashutosh S. Dhodapkar, James E. Smith 0001 Tuning Reconfigurable Microarchitectures for Power Efficiency. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Manoj Sachdev Deep sub-micron IDDQ testing: issues and solutions. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
11Saihua Lin, Yu Wang 0002, Rong Luo, Huazhong Yang A capacitive boosted buffer technique for high-speed process-variation-tolerant interconnect in UDVS application. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Fred Chen, Hei Kam, Dejan Markovic, Tsu-Jae King Liu, Vladimir Stojanovic, Elad Alon Integrated circuit design with NEM relays. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11S. A. Kannan, N. S. Sreeram, Bharadwaj S. Amrutur Unified Vdd - Vth Optimization Based DVFM Controller for a Logic Block. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Juan Gao, Philip Holmes On the dynamics of electrically-coupled neurons with inhibitory synapses. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Bifurcation diagrams, Electrical coupling, Inhibitory synapses, Integrate-and-fire models, Poincaré maps
11Rodrigo Jaramillo-Ramirez, Mohab Anis A Dual-Threshold FPGA Routing Design for Subthreshold Leakage Reduction. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Chanseok Hwang, Peng Rong, Massoud Pedram Sleep transistor distribution in row-based MTCMOS designs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF leakage minimization, placement, MTCMOS
11Jing Li 0073, Kunhyuk Kang, Aditya Bansal, Kaushik Roy 0001 High Performance and Low Power Electronics on Flexible Substrate. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Milena Vratonjic, Bart R. Zeydel, Vojin G. Oklobdzija Circuit Sizing and Supply-Voltage Selection for Low-Power Digital Circuit Design. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Ashutosh Chakraborty, Karthik Duraisami, Ashoka Visweswara Sathanur, Prassanna Sithambaram, Alberto Macii, Enrico Macii, Massimo Poncino Implications of ultra low-voltage devices on design techniques for controlling leakage in NanoCMOS circuits. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Chanseok Hwang, Chang Woo Kang, Massoud Pedram Gate Sizing and Replication to Minimize the Effects of Virtual Ground Parasitic Resistances in MTCMOS Designs. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Srivathsan Krishnamohan, Nihar R. Mahapatra Increasing the energy efficiency of pipelined circuits via slack redistribution. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF slack passing, time borrowing, low-power design
11H. C. Srinivasaiah, Navakanta Bhat Response Surface Modeling of 100nm CMOS Process Technology using Design of Experiment. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Disposable spacer, Transmission of moments technique, Plackett-Burman design, Sensitivity analysis, Statistical modeling, CMOS technology, Response surface methodology, Monte carlo analysis
11Rohini Krishnan, José Pineda de Gyvez Low Energy Switch Block For FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Narender Hanchate, Nagarajan Ranganathan A New Technique for Leakage Reduction in CMOS Circuits using Self-Controlled Stacked Transistors. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Sagar S. Sabade, D. M. H. Walker Evaluation of Statistical Outlier Rejection Methods for IDDQ Limit Setting. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Stephen P. Kornachuk, Michael C. Smayling New strategies for gridded physical design for 32nm technologies and beyond. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 28nm, 32nm, 45nm, litho, rdr, placement, layout, physical design, manufacturability, lithography, standard cell, vlsi, drc, dfm
10Prasanth Mangalagiri, Karthik Sarpatwari, Aditya Yanamandra, Vijaykrishnan Narayanan, Yuan Xie 0001, Mary Jane Irwin, Osama Awadel Karim A low-power phase change memory based hybrid cache architecture. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF PRAM, phase change memory
10Ashoka Visweswara Sathanur, Antonio Pullini, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Optimal sleep transistor synthesis under timing and area constraints. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF row-based, clustering, leakage power, power-gating, standard cell, sleep transistor
10Deblina Sarkar, Samiran Ganguly, Deepanjan Datta, A. Ananda Prasad Sarab, Sudeb Dasgupta Modeling of Leakages in Nano-Scale DG MOSFET to Implement Low Power SRAM: A Device/Circuit Co-Design. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10A. K. Mrunal, M. A. Shirasgaonkar, Rajendra M. Patrikar Stacked Active Loads For Low Power, High Speed GaAs Digital Circuits (SALFL). Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Sagar S. Sabade, D. M. H. Walker Evaluation of Effectiveness of Median of Absolute Deviations Outlier Rejection-based IDDQ Testing for Burn-in Reduction. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
7Yifan He, Yu Pu, Richard P. Kleihorst, Zhenyu Ye, Anteneh A. Abbo, Sebastian M. Londono, Henk Corporaal Xetal-Pro: an ultra-low energy and high throughput SIMD processor. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Xetal-Pro, hybrid memory system, SIMD, low-energy
7Vinay Saripalli, Vijaykrishnan Narayanan, Suman Datta Ultra Low Energy Binary Decision Diagram Circuits Using Few Electron Transistors. Search on Bibsonomy NanoNet The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low-energy circuits, single electron transistors, binary decision diagram logic circuits
7Jader A. De Lima A compact low-distortion low-power instrumentation amplifier. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF class-AB output stage, double-port amplifier, instrumentation amplifier
7Hongbo Zhou 0001, Hong-Ju Yang, Haiyun Xu, Qiang Cheng A New Computational Tool for the Post Session Analysis of the Prepulse Inhibition Test in Neural Science. Search on Bibsonomy CSE (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
7Basab Datta, Wayne P. Burleson Low-power, process-variation tolerant on-chip thermal monitoring using track and hold based thermal sensors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF sensor, interconnect, temperature, oscillator
7Kuande Wang, Li Chen, Jinsheng Yang AN ultra low power fault tolerant SRAM design in 90nm CMOS. Search on Bibsonomy CCECE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
7S. Ramasamy, B. Venkataramani, R. Niranjini, K. Suganya 100KHz-20MHz Programmable Subthreshold Gm-C Low-Pass Filter in 0.18µ-m CMOS. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
7QuanJun Cao, Yimen Zhang, Yuming Zhang, HongLiang Lv, YueHu Wang, XiaoYan Tang, Hui Guo Improved empirical DC I-V model for 4H-SiC MESFETs. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 4H-SiC MESFET, DC I-V characteristics, nonlinear regression, empirical model, Levenberg-Marquardt method
7Ashoka Visweswara Sathanur, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Temporal Discharge Current Driven Clustering for Improved Leakage Power Reduction in Row-Based Power-Gating. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
7Ming Liu 0015, Hong Chen 0002, Run Chen, Zhihua Wang 0001 Low-power IC design for a wireless BCI system. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
7Armin Tajalli, Frank K. Gürkaynak, Yusuf Leblebici, Massimo Alioto, Elizabeth J. Brauer Improving the power-delay product in SCL circuits using source follower output stage. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
7Betty Prince Nanotechnology and emerging memories. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FeRAM, ferroelectric, floating body, nanocrystal, nitride storage, scaling issues, single electron memories, memory, variability, scaling, SRAM, MEMs, DRAM, flash, MRAM, phase change, non-volatile, molecular memory
7Siddharth Garg, Diana Marculescu On the impact of manufacturing process variations on the lifetime of sensor networks. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF leakage power variability, manufacturing process variations, sensor networks, lifetime
7Karim Abdelhalim, Leonard MacEachern, Samy A. Mahmoud A Nanowatt Successive Approximation ADC with Offset Correction for Implantable Sensor Applications. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
7Ashesh Rastogi, Kunal P. Ganeshpure, Sandip Kundu A Study on Impact of Leakage Current on Dynamic Power. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
7Chung-Yu Chang, Wei-Bin Yang, Ching-Ji Huang, Cheng-Hsing Chien New Power Gating Structure with Low Voltage Fluctuations by Bulk Controller in Transition Mode. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
7Tamer Cakici, Keejong Kim, Kaushik Roy 0001 FinFET Based SRAM Design for Low Standby Power Applications. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
7Ashesh Rastogi, Wei Chen, Sandip Kundu On Estimating Impact of Loading Effect on Leakage Current in Sub-65nm Scaled CMOS Circuits Based on Newton-Raphson Method. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
7Karim Abdelhalim, Leonard MacEachern, Samy A. Mahmoud A nanowatt ADC for ultra low power applications. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
7Srinjoy Mitra, Stefano Fusi, Giacomo Indiveri A VLSI spike-driven dynamic synapse which learns only when necessary. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
7K. Kiyoyama, Yoshinobu Tanaka, Michihisa Onoda A low current consumption delta-sigma modulator for body-implanted chip. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
7Sanjeev K. Jain, Pankaj Agarwal A Low Leakage and SNM Free SRAM Cell Design in Deep Sub Micron CMOS Technology. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
7Shan Gao, Junning Chen, Daoming Ke, Xiulong Wu A Compact Equivalent Circuit Model of HVLDMOS and Application in HIVC Design. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
7Swaroop Ghosh, Saibal Mukhopadhyay, Keejong Kim, Kaushik Roy 0001 Self-calibration technique for reduction of hold failures in low-power nano-scaled SRAM. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF adaptive source biasing, hold failures, low power SRAM
7Alice Morelli, Rosapia Lauro Grotto, Fortunato Tito Arecchi A Feature-Based Model of Semantic Memory: The Importance of Being Chaotic. Search on Bibsonomy BVAI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
7Saibal Mukhopadhyay, Keunwoo Kim, Ching-Te Chuang, Kaushik Roy 0001 Modeling and analysis of total leakage currents in nanoscale double gate devices and circuits. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF double-gate devices, quantum effect, stacking effect, estimation, SRAM, gate leakage, subthreshold leakage
7Leila Shepherd, Chris Toumazou Towards an implantable ultra-low power biochemical signal processor for blood and tissue monitoring. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
7M. Kiyoyama, Michihisa Onoda, Yoshinobu Tanaka A low current consumption CMOS latched comparator for body-implanted chip. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
7R. Srinivasan, Navakanta Bhat Impact of Channel Engineering on Unity Gain Frequency and Noise-Figure in 90nm NMOS Transistor for RF Applications. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
7Nikhil Jayakumar, Sandeep Dhar, Sunil P. Khatri A self-adjusting scheme to determine the optimum RBB by monitoring leakage currents. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF leakage power, self-adjusting, body-biasing
7Fredrik Edin, Christian K. Machens, Hartmut Schütze, Andreas V. M. Herz Searching for Optimal Sensory Signals: Iterative Stimulus Reconstruction in Closed-Loop Experiments. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF stimulus reconstruction, insect, auditory receptor, neural coding
7Mahadevan Gomathisankaran, Akhilesh Tyagi WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
7Hari Ananthan, Aditya Bansal, Kaushik Roy 0001 FinFET SRAM - Device and Circuit Design Considerations. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
7Kaviraj Chopra, Sarma B. K. Vrudhula Implicit pseudo boolean enumeration algorithms for input vector control. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF power, CMOS, SAT, binary decision diagrams, leakage, symbolic methods
7Cassondra Neau, Kaushik Roy 0001 Optimal body bias selection for leakage improvement and process compensation over different technology generations. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF band-to-band tunneling, leakage components, process compensation, substrate bias, process variation, leakage current, CMOS scaling, body bias
7Saied Hemati, Amir H. Banihashemi Iterative decoding in analog CMOS. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF analog CMOS, analog iterative decoder, asynchronous iterative decoding, min-sum decoding, soft decoding, analog circuit, turbo codes, iterative decoding, low-density parity-check codes
7Y. Tsiatouhas, Th. Haniotakis, Dimitris Nikolos, Angela Arapoyanni Extending the Viability of IDDQ Testing in the Deep Submicron Era. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
7Giancarlo La Camera, Stefano Fusi, Walter Senn, Alexander Rauch, Hans-Rudolf Lüscher When NMDA Receptor Conductances Increase Inter-spike Interval Variability. Search on Bibsonomy ICANN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
7Anton Chichkov, Dirk Merlier, Peter Cox Current Testing Procedure for Deep Submicron Devices. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF ASIC testing, IDDQ, deep submicron
7Juan M. Díez, Juan Carlos López 0001 Influence of Manufacturing Variations in IDDQ Measurements: A New Test Criterion. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
7Claude Thibeault, Luc Boisvert On the Current Behavior of Faulty and Fault-Free ICs and the Impact on Diagnosis. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF current signatures, diagnosis, Integrated circuits, bridging faults, Iddq testing
Displaying result #301 - #400 of 401 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license