The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Synthesis with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1949-1958 (15) 1959-1961 (18) 1962-1963 (24) 1964-1965 (43) 1966-1967 (38) 1968 (33) 1969 (18) 1970 (19) 1971 (16) 1972 (15) 1973 (16) 1974 (29) 1975 (38) 1976 (40) 1977 (43) 1978 (64) 1979 (49) 1980 (42) 1981 (50) 1982 (58) 1983 (51) 1984 (66) 1985 (116) 1986 (131) 1987 (151) 1988 (175) 1989 (261) 1990 (385) 1991 (343) 1992 (364) 1993 (419) 1994 (670) 1995 (556) 1996 (563) 1997 (638) 1998 (810) 1999 (798) 2000 (905) 2001 (872) 2002 (1042) 2003 (1127) 2004 (1330) 2005 (1419) 2006 (1557) 2007 (1634) 2008 (1728) 2009 (1274) 2010 (1485) 2011 (1092) 2012 (1265) 2013 (1428) 2014 (1465) 2015 (1315) 2016 (1581) 2017 (1534) 2018 (1743) 2019 (1994) 2020 (2041) 2021 (2333) 2022 (2508) 2023 (2800) 2024 (641)
Publication types (Num. hits)
article(15949) book(72) data(11) incollection(258) inproceedings(27856) phdthesis(975) proceedings(157)
Venues (Conferences, Journals, ...)
CoRR(4238) ICASSP(818) INTERSPEECH(809) CODES+ISSS(775) ALIFE(711) DAC(700) IEEE Trans. Comput. Aided Des....(668) CASES(604) LOPSTR(567) SSW(528) ICCAD(473) DATE(457) ICMC(455) CDC(419) SMACD(397) ACC(343) More (+10 of total 4355)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 14306 occurrences of 4820 keywords

Results
Found 45278 publication records. Showing 45278 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Srinivas Katkoori, Nand Kumar, Ranga Vemuri High level profiling based low power synthesis technique. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high level profiling based low power synthesis technique, average switching capacitance, user-specified set, switching capacitance, VLSI, delay, logic design, power estimation, area
19Yen-Min Huang, Chinya V. Ravishankar Designing an Agent Synthesis System for Cross-RPC Communication. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF agent synthesis system, cross-RPC communication, RPC protocols, large heterogeneous environment, RPC agents, RPC heterogeneities, agent development costs, cross-RPC performance, distributed systems, parallel programming, protocols, rapid prototyping, software prototyping, remote procedure calls, remote procedure call, telecommunications computing, transport layer, maintenance costs
19Sanghoon Sull, Narendra Ahuja Integrated 3-D Analysis and Analysis-Guided Synthesis of Flight Image Sequences. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF 3-D analysis, analysis-guided synthesis, flight image sequences, 3-D motion, point features, texture gradient, vanishing line, planar textured surface, sequential batch method, image attributes, binocular sequence, synthetic sequence, workstation monitor, performance evaluation, optimization, image segmentation, motion estimation, image sequences, optical flow, lines, monocular image sequence, motion recovery, multiple cues
19Bapiraju Vinnakota, Niraj K. Jha Synthesis of Algorithm-Based Fault-Tolerant Systems from Dependence Graphs. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF algorithm-based fault-tolerant systems, computation-intensive tasks, ABFT scheme, synthesis method, fault-tolerant median filter, reliability, graph theory, parallel architectures, fault tolerant computing, dependence graphs, parallelarchitectures
19Zohar Manna, Richard J. Waldinger Fundamentals of Deductive Program Synthesis. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF deductive program synthesis, deductive-tableau system, theorem-proving framework, nonclausal resolution rule, induction rule, formal specification, artificial intelligence, specification, theorem proving, program testing, reasoning, inference mechanisms, proof
19Jarke J. van Wijk Spot noise texture synthesis for data visualization. Search on Bibsonomy SIGGRAPH The full citation details ... 1991 DBLP  DOI  BibTeX  RDF scientific visualization, texture synthesis, fractals, flow visualization, particle systems
19Jayanth V. Rajan, Donald E. Thomas Synthesis by delayed binding of decisions. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF behavioral partioning, synthesis, automatic design
19Sebastián Uchitel, Greg Brunet, Marsha Chechik Synthesis of Partial Behavior Models from Properties and Scenarios. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Anthony C. Robinson Needs Assessment for the Design of Information Synthesis Visual Analytics Tools. Search on Bibsonomy IV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Feng Wang 0004, Yuan Xie 0001, Andrés Takach Variation-aware resource sharing and binding in behavioral synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Sandip Ray, Kecheng Hao, Yan Chen 0001, Fei Xie, Jin Yang 0006 Formal Verification for High-Assurance Behavioral Synthesis. Search on Bibsonomy ATVA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Yoad Lustig, Moshe Y. Vardi Synthesis from Component Libraries. Search on Bibsonomy FoSSaCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Vyas Krishnan, Srinivas Katkoori Simultaneous Peak Temperature and Average Power Minimization during Behavioral Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Gabriel Recatalá, Eris Chinellato, Angel P. del Pobil, Youcef Mezouar, Philippe Martinet Biologically-inspired 3D grasp synthesis based on visual exploration. Search on Bibsonomy Auton. Robots The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Biologically-inspired robots, Models of human manipulation, Grasping/dexterous manipulation, Active perception, Robot vision
19Sushanta K. Mandal, Shamik Sural, Amit Patra ANN- and PSO-Based Synthesis of On-Chip Spiral Inductors for RF ICs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Gülin Tulunay, Sina Balkir A Synthesis Tool for CMOS RF Low-Noise Amplifiers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Tao Xu 0002, Krishnendu Chakrabarty Integrated droplet routing and defect tolerance in the synthesis of digital microfluidic biochips. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Physical design automation, microfluidics, biochips, module placement
19Roberto Costantini, Luciano Sbaiz, Sabine Süsstrunk Higher Order SVD Analysis for Dynamic Texture Synthesis. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Hsien-I Lin, C. S. George Lee Self-organizing skill synthesis. Search on Bibsonomy IROS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Feng Wang 0004, Guangyu Sun 0003, Yuan Xie 0001 A Variation Aware High Level Synthesis Framework. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Sharareh Zamanzadeh, Mohammad Mirza-Aghatabar, Mehrdad Najibi, Hossein Pedram, Abolghasem Sadeghi Reimbursing the Handshake Overhead of Asynchronous Circuits using Compiler Pre-Synthesis Optimizations. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Morteza Damavandpeyma, Siamak Mohammadi Architectural Synthesis with Control Data Flow Extraction toward an Asynchronous CAD Tool. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Chia-Ming Cheng, Shu-Jyuan Lin, Shang-Hong Lai, Jinn-Cherng Yang Improved novel view synthesis from depth image with large baseline. Search on Bibsonomy ICPR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Junyu Dong, Yuanxu Duan, Guimei Sun, Lin Qi 0004 Texture synthesis by Support Vector Machines. Search on Bibsonomy ICPR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Huan Ren, Shantanu Dutt Algorithms for simultaneous consideration of multiple physical synthesis transforms for timing closure. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Fanghua Liu, Hongtao Wu, Lüzhong Ma Intellectualized Structural Synthesis and Classification of the 3-DOF Space Parallel Robot Mechanisms. Search on Bibsonomy ICIRA (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Parallel robot mechanisms, Single open chain limb
19Sen Wang, Xianfeng David Gu, Hong Qin 0001 Automatic non-rigid registration of 3D dynamic data for facial expression synthesis and transfer. Search on Bibsonomy CVPR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Ting Liu 0007, Camel Tanougast, Serge Weber A framework of architectural synthesis for dynamically reconfigurable FPGAs. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Palle Dahlstedt Dynamic Mapping Strategies for Expressive Synthesis Performance and Improvisation. Search on Bibsonomy CMMR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Yulia Korukhova An approach to automatic deductive synthesis of functional programs. Search on Bibsonomy Ann. Math. Artif. Intell. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Mathematics Subject Classification 68T20
19Antonio Criminisi, Andrew Blake 0001, Carsten Rother, Jamie Shotton, Philip H. S. Torr Efficient Dense Stereo with Occlusions for New View-Synthesis by Four-State Dynamic Programming. Search on Bibsonomy Int. J. Comput. Vis. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dense stereo, gaze correction, image-based rendering, video-conferencing
19Takao Konishi, Naohiro Hamada, Hiroshi Saito A Control Circuit Synthesis Method for Asynchronous Circuits in Bundled-Data Implementation. Search on Bibsonomy CIT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Xiao Xiao Hu, Hongjie Zhang, Zhu Liang Yu, Meng Hwa Er Pattern Synthesis via Convex Optimization for Microphone Arrays. Search on Bibsonomy SiPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Charles J. Alpert, Shrirang K. Karandikar, Zhuo Li 0001, Gi-Joon Nam, Stephen T. Quay, Haoxing Ren, Cliff C. N. Sze, Paul G. Villarrubia, Mehmet Can Yildiz The nuts and bolts of physical synthesis. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Fan Yang 0001, Xuan Zeng 0001, Yangfeng Su, Dian Zhou RLCSYN: RLC Equivalent Circuit Synthesis for Structure-Preserved Reduced-order Model of Interconnect. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Cong Zhang, Amol Bakshi, Viktor K. Prasanna ModelML: a Markup Language for Automatic Model Synthesis. Search on Bibsonomy IRI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Sebastián Uchitel, Greg Brunet, Marsha Chechik Behaviour Model Synthesis from Properties and Scenarios. Search on Bibsonomy ICSE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Zdenek Krnoul, Milos Zelezný Translation and Conversion for Czech Sign Speech Synthesis. Search on Bibsonomy TSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Chunpeng Li, Shihong Xia, Zhaoqi Wang Pose Synthesis Using the Inverse of Jacobian Matrix Learned from Examples. Search on Bibsonomy VR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Pallav Gupta, Abhinav Agrawal 0002, Niraj K. Jha An Algorithm for Synthesis of Reversible Logic Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Arthur Nieuwoudt, Yehia Massoud Variability-Aware Multilevel Integrated Spiral Inductor Synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Hua Tang, Hui Zhang 0057, Alex Doboli Refinement-based synthesis of continuous-time analog filters through successive domain pruning, plateau search, and adaptive sampling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Christof Faller Parametric multichannel audio coding: synthesis of coherence cues. Search on Bibsonomy IEEE Trans. Speech Audio Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Wei-Chen Chang, Alvin Wen-Yu Su A Multichannel Recurrent Network Analysis/Synthesis Model for Coupled-String Instruments. Search on Bibsonomy IEEE Trans. Speech Audio Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Gaurav Singh 0006, Sandeep K. Shukla Low-power hardware synthesis from TRS-based specifications. Search on Bibsonomy MEMOCODE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Rafael Ruiz-Sautua, María C. Molina, José M. Mendías, Román Hermida Pre-synthesis optimization of multiplications to improve circuit performance. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Yuko Hara, Hiroyuki Tomiyama, Shinya Honda, Hiroaki Takada Function Call Optimization in Behavioral Synthesis. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Sven Schewe Synthesis for Probabilistic Environments. Search on Bibsonomy ATVA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Sujan Pandey, Manfred Glesner Energy efficient MPSoC on-chip communication bus synthesis using voltage scaling technique. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19George Economakos Behavioral synthesis with SystemC and PSL assertions for interface specification. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Zhigang Gao, Zhaohui Wu 0001, Hong Li Implementation Synthesis of Embedded Software Under Operating Systems Supporting the Hybrid Scheduling Model. Search on Bibsonomy EUC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Renqiu Huang, Ranga Vemuri Transformation synthesis for data intensive applications to FPGAs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Fei Sun, Srivaths Ravi 0001, Anand Raghunathan, Niraj K. Jha Hybrid Custom Instruction and Co-Processor Synthesis Methodology for Extensible Processors. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Jung-Lin Yang, Hsu-Ching Tien, Chia-Ming Hsu, Sung-Min Lin High-Level Synthesis for Self-Timed Systems. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Nattawut Thepayasuwan, Alex Doboli Layout conscious approach and bus architecture synthesis for hardware/software codesign of systems on chip optimized for speed. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Dmitri Maslov, Gerhard W. Dueck, D. Michael Miller Toffoli network synthesis with templates. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Darko Kirovski, Milenko Drinic, Miodrag Potkonjak Engineering change protocols for behavioral and system synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Mariam Momenzadeh, Jing Huang 0001, Mehdi Baradaran Tahoori, Fabrizio Lombardi Characterization, test, and logic synthesis of and-or-inverter (AOI) gate design for QCA implementation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Hiroshi Kawasaki, Kyoung-Dae Seo, Yutaka Ohsawa, Ryo Furukawa 0001 Patch-based BTF synthesis for real-time rendering. Search on Bibsonomy ICIP (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Toshihisa Tanaka Optimal design for synthesis filters of oversampled uniform perfect reconstruction filter banks with 50% overlapping. Search on Bibsonomy ICIP (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Francisco-Javier Veredas, Jordi Carrabina Power Dissipation Impact of the Technology Mapping Synthesis on Look-Up Table Architectures. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Huiying Yang, Anuradha Agarwal, Ranga Vemuri Fast Analog Circuit Synthesis Using Multiparameter Sensitivity Analysis Based on Element-Coefficient Diagrams. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Raoul F. Badaoui, Ranga Vemuri Multi-Placement Structures for Fast and Optimized Placement in Analog Circuit Synthesis. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Eddie Cooke, Noel E. O'Connor Scalable Virtual Viewpoint Image Synthesis for Multiple Camera Environments. Search on Bibsonomy IV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Leonardo Amorim, Raimundo S. Barreto, Paulo Romero Martins Maciel, Eduardo Tavares, Meuse N. Oliveira Jr., Arthur Bessa, Ricardo Massa Ferreira Lima A Methodology for Software Synthesis of Embedded Real-Time Systems Based on TPN and LSC. Search on Bibsonomy ICESS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Leandro Tonietto, Marcelo Walter, Cláudio Rosito Jung Patch-Based Texture Synthesis Using Wavelets. Search on Bibsonomy SIBGRAPI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Phil Corbishley, David G. Haigh Rules for systematic synthesis of all-transistor analogue circuits by admittance matrix expansion. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Laurent Pottier Strategies for the Control of Microsound Synthesis Within the "GMU" Project. Search on Bibsonomy CMMR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Rui Zhang, Pallav Gupta, Niraj K. Jha Synthesis of Majority and Minority Networks and Its Applications to QCA, TPL and SET Based Nanotechnologies. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Geun Rae Cho, Tom Chen 0001 Synthesis of single/dual-rail mixed PTL/static logic for low-power applications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Weidong Wang, Anand Raghunathan, Niraj K. Jha, Sujit Dey Resource budgeting for Multiprocess High-level synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Sumit Gupta, Nicolae Savoiu, Nikil D. Dutt, Rajesh K. Gupta 0001, Alexandru Nicolau Using global code motions to improve the quality of results for high-level synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Chun-Gi Lyuh, Taewhan Kim, Ki-Wook Kim Coupling-aware high-level interconnect synthesis [IC layout]. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Alessandra Nardi, Alberto L. Sangiovanni-Vincentelli Synthesis for Manufacturability: A Sanity Check. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Mukesh Ranjan, Wim Verhaegen, Anuradha Agarwal, Hemanth Sampath, Ranga Vemuri, Georges G. E. Gielen Fast, Layout-Inclusive Analog Circuit Synthesis using Pre-Compiled Parasitic-Aware Symbolic Performance Models. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Francesco Bruschi, Massimo Bombana A Design Methodology for the Exploitation of High Level Communication Synthesis. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Tetsuya Iizuka, Makoto Ikeda, Kunihiro Asada High speed layout synthesis for minimum-width CMOS logic cells via Boolean satisfiability. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Chris Sullivan, Alex Wilson, Stephen P. G. Chappell Using C based logic synthesis to bridge the productivity gap. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Chris V. Jones 0001, Maja J. Mataric Synthesis and Analysis of Non-Reactive Controllers for Multi-Robot Sequential Task Domains. Search on Bibsonomy ISER The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Liang Xiao 0001, Huizhong Wu, Shuchun Tang, Yang Liu Modeling and Simulation of Digital Scene Image Synthesis Using Image Intensified CCD Under Different Weathers in Scene Matching Simulation System. Search on Bibsonomy AsiaSim The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Marcos Alonso, Günter Geiger, Sergi Jordà An Internet Browser Plug-in for Real-time Audio Synthesis. Search on Bibsonomy WEDELMUSIC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Sina Balkir, Günhan Dündar, Güner Alpaydin Evolution Based Synthesis of Analog Integrated Circuits and Systems. Search on Bibsonomy Evolvable Hardware The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19David A. Basin, Yves Deville, Pierre Flener, Andreas Hamfelt, Jørgen Fischer Nilsson Synthesis of Programs in Computational Logic. Search on Bibsonomy Program Development in Computational Logic The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19William N. N. Hung, Xiaoyu Song, Guowu Yang, Jin Yang 0006, Marek A. Perkowski Quantum logic synthesis by symbolic reachability analysis. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF model checking, formal verification, quantum computing, satisfiability, reversible logic
19Kenneth Francken, Georges G. E. Gielen A high-level simulation and synthesis environment for ΔΣ modulators. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Alex Doboli, Ranga Vemuri Exploration-based high-level synthesis of linear analog systems operating at low/medium frequencies. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Ramy Iskander, Mohamed Dessouky, Maie Aly, Mahmoud Magdy, Noha Hassan, Noha Soliman, Sami Moussa Synthesis of CMOS Analog Cells Using AMIGO. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Lech Józwiak, Szymon Bieganski, Artur Chojnacki Information-driven Library-based Circuit Synthesis. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Anas Al-Rabadi Iterative Symmetry Indices Decomposition for Ternary Logic Synthesis in Three-Dimensional Space. Search on Bibsonomy ISMVL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Kamal S. Khouri, Niraj K. Jha Leakage power analysis and reduction during behavioral synthesis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Robert P. Goldman, David J. Musliner, Michael J. S. Pelican Exploiting Implicit Representations in Timed Automaton Verification for Controller Synthesis. Search on Bibsonomy HSCC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Aneesh Koorapaty, Lawrence T. Pileggi Modular, Fabric-Specific Synthesis for Programmable Architectures. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Chih-Wei Jim Chang, Malgorzata Marek-Sadowska ATPG-based logic synthesis: an overview. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Chun-Gi Lyuh, Taewhan Kim, Ki-Wook Kim Coupling-aware high-level interconnect synthesis for low power. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Weidong Wang, Anand Raghunathan, Ganesh Lakshminarayana, Niraj K. Jha Input Space Adaptive Embedded Software Synthesis. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Elie Torbey, John P. Knight Multiclock selection and synthesis for CDFGs using optimal clock sets and genetic algorithms. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Andrew A. Duncan, David C. Hendry, Peter Gray The COBRA-ABS high-level synthesis system for multi-FPGA custom computing machines. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Ki-Il Kum, Wonyong Sung Combined word-length optimization and high-level synthesis ofdigital signal processing systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Lech Józwiak, Artur Chojnacki, Aleksander Slusarczyk Fast and Compact Sequential Circuits through the Information-Driven Circuit Synthesis. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Josep Carmona 0001, Jordi Cortadella, Enric Pastor A structural encoding technique for the synthesis of asynchronous circuits. Search on Bibsonomy ACSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 45278 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license