The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ASIC with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1988 (19) 1989 (17) 1990 (24) 1991 (21) 1992 (25) 1993 (16) 1994 (155) 1995 (48) 1996 (54) 1997 (45) 1998 (57) 1999 (50) 2000 (60) 2001 (56) 2002 (80) 2003 (101) 2004 (110) 2005 (138) 2006 (142) 2007 (122) 2008 (140) 2009 (88) 2010 (50) 2011 (330) 2012 (44) 2013 (308) 2014 (50) 2015 (395) 2016 (65) 2017 (343) 2018 (80) 2019 (313) 2020 (64) 2021 (291) 2022 (76) 2023 (305) 2024 (14)
Publication types (Num. hits)
article(599) book(5) incollection(5) inproceedings(3655) phdthesis(24) proceedings(8)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1444 occurrences of 813 keywords

Results
Found 4301 publication records. Showing 4296 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
29Nancy Nettleton, Wolfgang Roethig, D. Hill, Majid Sarrafzadeh Differences in ASIC, COT and processor design (panel). Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF ASIC
29F. G. Lorca, Lounis Kessal, Didier Demigny Efficient ASIC and FPGA Implementations of IIR Filters for Real Time Edge Detection. Search on Bibsonomy ICIP (2) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Deriche filter architecture, real time edge detection, optimal edge detectors, FGGA circuits, memory size reduction, scale parameter, first order recursive filter, algorithm, ASIC, CMOS, adders, hardware implementation, IIR filters, IIR filters, software implementation, real time implementation, computation cost reduction, 1.2 micron
29Ronald Collet Which ASIC Technology Will Dominate the 1990's (Panel Abstract). Search on Bibsonomy DAC The full citation details ... 1992 DBLP  BibTeX  RDF ASIC
27Wei Liu, Xuecong Lu, Yuxi Mao, Bing Li 0011 A 23.5 μA Ultra-Low Standby Power Microphone ASIC with the Voice Activity Detection Based on A Level-Crossing ADC. Search on Bibsonomy ASICON The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
27Weiqi Zhi, Ting Yi, Zhiliang Hong A Review and Perspective on Electrode Patch-Based Fetal ECG Monitoring ASIC. Search on Bibsonomy ASICON The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
27Zhen Lu, Ting Yi, Zhiliang Hong A Review of PPG/NIRS Acquisition ASIC and System. Search on Bibsonomy ASICON The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
27Yulong Zhu, Futian Liang, Xinzhe Wang, Bo Feng, Chenxi Zhu, Ge Jin An ASIC for Discriminating Single Photon Detector Signal of High-Speed Quantum Key Distribution System. Search on Bibsonomy ASICON The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
27Conghui Zhao, Yingjian Yan, Wei Li An efficient ASIC Implementation of QARMA Lightweight Algorithm. Search on Bibsonomy ASICON The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
27Danyang Yang, Zibin Dai, Wei Li 0131, Tao Chen 0047 An Efficient ASIC Implementation of Public Key Cryptography Algorithm SM2 Based on Module Arithmetic Logic Unit. Search on Bibsonomy ASICON The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
27Chun Cai, Hiromitsu Awano, Makoto Ikeda High-Speed ASIC Implementation of Paillier Cryptosystem with Homomorphism. Search on Bibsonomy ASICON The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
27Donkyu Baek, Insup Shin, Seungwhun Paik, Youngsoo Shin Selectively patterned masks: Structured ASIC with asymptotically ASIC performance. Search on Bibsonomy ASP-DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Suoming Pu, Bo Yu, Xuan Zou Robustness and performance analysis on high speed ASIC design with canonical statistical timing model. Search on Bibsonomy ASICON The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Hongming Chen 0002, Xiaoyuan Chen, Tie Liu, Yuhua Cheng ASIC implementation of an OFDM baseband transceiver for HINOC. Search on Bibsonomy ASICON The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27David G. Chinnery, Kurt Keutzer Closing the Gap Between ASIC and Custom - Tools and Techniques for High-Performance ASIC Design. Search on Bibsonomy 2004   DOI  RDF
27Jan Andersson A DSP ASIC design flow based on VHDL and ASIC-emulation. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
27Alain Greiner, Luis Lucas, Franck Wajsbürt, Laurent Winckel Design of a High Complexity Superscalar Microprocessor with the Portable IDPS ASIC Library. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
27E. B. Patterson, P. G. Holmes, D. Morley Microprocessor/ASIC to total ASIC design for cycloconverter drives. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
23Robert Law Using student blogs for documentation in software development projects. Search on Bibsonomy ITiCSE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Usman Ahmed, Guy G. Lemieux, Steven J. E. Wilton The impact of interconnect architecture on via-programmed structured ASICs (VPSAs). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF structured asics, via programmable fabric
23Raimon Casanova, Ángel Dieguez, Anna Arbat, Oscar Alonso, Andreu Sanuy, Joan Canals, Jorde Colomer, Josep Samitier Integration of the control electronics for a mm3-sized autonomous microrobot into a single chip. Search on Bibsonomy ICRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Pedro Miguens Matutino, Leonel Sousa An RNS based Specific Processor for Computing the Minimum Sum-of-Absolute-Differences. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Ruchika Verma, Ali Akoglu A coarse grained and hybrid reconfigurable architecture with flexible NoC router for variable block size motion estimation. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Mary Lou Jepsen CAD for displays! Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Xingzhi Wen, Uzi Vishkin Fpga-based prototype of a pram-on-chip processor. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ease-of-programming, explicit multi-threading, on-chip parallel processor, pram, parallel algorithms, xmt
23Mohamad Rahal, Andreas Demosthenous An integrated design for the front-end of an inductive position sensor. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Mark Hammerquist, Roman L. Lysecky Design space exploration for application specific FPGAS in system-on-a-chip designs. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Mythri Alle, Keshavan Varadarajan, Ramesh C. Ramesh, Joseph Nimmy, Alexander Fell, Adarsha Rao, S. K. Nandy 0001, Ranjani Narayan Synthesis of application accelerators on Runtime Reconfigurable Hardware. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Allen C. Cheng Amplifying Embedded System Efficiency via Automatic Instruction Fusion on a Post-Manufacturing Reconfigurable Architecture Platform. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Martha Mercaldi Kim, Mojtaba Mehrara, Mark Oskin, Todd M. Austin Architectural implications of brick and mortar silicon manufacturing. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF chip assembly, design re-use, interconnect design
23Jon Patrick The Scamseek Project - Text Mining for Financial Scams on the Internet. Search on Bibsonomy Selected Papers from AusDM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Kuen-Cheng Chiang, Zhi-Wei Chen, Jean Jyh-Jiun Shann Design and implementation of a reconfigurable hardware for secure embedded systems. Search on Bibsonomy AsiaCCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF secured embedded system, AES, RSA, reconfigurable architecture, DES, processing element
23Uthman Alsaiari, Resve A. Saleh Testable and self-repairable structured logic design. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Hugo Hedberg, Thomas Lenart, Henrik Svensson A Complete MP3 Decoder on a Chip. Search on Bibsonomy MSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Zhining Huang, Sharad Malik, Nahri Moreano, Guido Araujo The design of dynamically reconfigurable datapath coprocessors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF coarse-grain reconfigurable fabric, reconfigurable datapath, Loop pipelining, interconnection design, datapath synthesis
23David H. Goldberg, Andreas G. Andreou, Pedro Julián, Philippe O. Pouliquen, Laurence Riddle, Rich Rosasco A wake-up detector for an acoustic surveillance sensor network: algorithm and VLSI implementation. Search on Bibsonomy IPSN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF acoustic surveillance, wake-up detection, sensor networks, power management, maximum likelihood estimation, periodicity, VLSI implementation
23André DeHon, Brad L. Hutchings, Daryl Rudusky, James Hwang, Nikhil, Salil Raje, Adrian Stoica What is the right model for programming and using modern FPGAs? Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Ko Yoshikawa, Yasuhiko Hagihara, Keisuke Kanamaru, Yuichi Nakamura 0002, Shigeto Inui, Takeshi Yoshimura Timing optimization by replacing flip-flops to latches. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Taraneh Taghavi, Soheil Ghiasi, Abhishek Ranjan, Salil Raje, Majid Sarrafzadeh Innovate or perish: FPGA physical design. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, partitioning, placement, physical design, floorplanning, delay estimation, routing architecture
23Raymond Hoare, Shen Chih Tung, Katrina Werger An 88-Way Multiprocessor within an FPGA with Customizable Instructions. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, Architecture, Parallelism, DSP, SIMD
23Xizhi Li, Tiecai Li ECOMIPS: An Economic MIPS CPU Design on FPGA. Search on Bibsonomy IWSOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23N. Venkateswaran 0002, C. Chandramouli General Purpose Processor Architecture for Modeling Stochastic Biological Neuronal Assemblies. Search on Bibsonomy ICES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Xinli Gu, Weili Wang, Kevin Li, Heon C. Kim, Sung Soo Chung Re-Using DFT Logic for Functional and Silicon Debugging Test. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Zhining Huang, Sharad Malik Exploiting operation level parallelism through dynamically reconfigurable datapaths. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23M. Ernst, Steffen Klupsch, Oliver Hauck, Sorin A. Huss Rapid Prototyping for Hardware Accelerated Elliptic Curve Public-Key Cryptosystems. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Martin Speitel, Michael Schlicht, Martin Leyh Acceleration of DAB Chipset Development by Deployment of a Real-time Rapid Prototyping Approach based on Behavioral Synthesis. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF real-time rapid prototyping, behavioral synthesis, digital radio
23Narendra V. Shenoy, Mahesh A. Iyer, Robert F. Damiano, Kevin Harer, Hi-Keung Tony Ma, Paul Thilking A Robust Solution to the Timing Convergence Problem in High-Performance Design. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF timing convergence, maximum capacitance, synthesis, placement, design-rules
23John Poulton An Embedded DRAM for CMOS ASICs. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
23Herbert Dawid, Gerhard P. Fettweis, Heinrich Meyr A CMOS IC for Gb/s Viterbi decoding: system design and VLSI implementation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
23Luc Desormeaux, Valek Szwarc, John H. Lodge A High-Speed, Real-to-Quadrature Converter with Filtering and Decimation. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
23Isidoros Sideris, Nikos K. Moshopoulos, Kiamal Z. Pekmestzi A hardware peripheral for Java bytecodes translation acceleration. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF stack folding, ASIC, RISC, Java processor
23Yu-Chen Chen, Hou-Yu Pang, Kuen-Wen Lin, Rung-Bin Lin, Hui-Hsiang Tung, Shih-Chieh Su Via configurable three-input lookup-tables for structured ASICs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF via-configurable, layout, look-up-table, vlsi, structured ASIC
23P. Subramanian, Jagonda Patil, Manish Kumar Saxena FPGA prototyping of a multi-million gate System-on-Chip (SoC) design for wireless USB applications. Search on Bibsonomy IWCMC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ECMA-368, FPGA-physical implementation, FPGA-synthesis, SoC (system-on-chip), synthesis constraints, FPGA, ASIC (application specific integrated circuits), functional verification, clock gating
23Jianwei Dong, Shi Zhang, Xiaonan Jia A Portable Intelligent ECG Monitor Based on Wireless Internet and Embedded System Technology. Search on Bibsonomy BMEI (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ECG interpretation, ASIC, RTOS, ECG monitor
23Jens-Peter Kaps Chai-Tea, Cryptographic Hardware Implementations of xTEA. Search on Bibsonomy INDOCRYPT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF symmetric key algorithms, TEA, XTEA, FPGA, ASIC, Efficient implementation
23Nikos Chrysos, Giorgos Dimitrakopoulos Backlog-Aware Crossbar Schedulers: A New Algorithm and its Efficient Hardware Implementation. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF VOQ crossbar scheduler, backlog-aware, deterministic service guarantees, round-robin arbiters, ASIC design
23Jianhua Liu, Yi Zhu 0002, Haikun Zhu, Chung-Kuan Cheng, John Lillis Optimum Prefix Adders in a Comprehensive Area, Timing and Power Design Space. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF optimum prefix adders, static power consumptions, dynamic power consumptions, high-level synthesis, integer linear programming, buffer insertion, gate sizing, power models, ASIC designs, parallel prefix adder, binary adder
23Alireza Hodjat, Ingrid Verbauwhede Area-Throughput Trade-Offs for Fully Pipelined 30 to 70 Gbits/s AES Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF crypto-processor, security, VLSI, cryptography, Advanced Encryption Standard (AES), ASIC, hardware architectures
23Ian Kuon, Jonathan Rose Measuring the gap between FPGAs and ASICs. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF area comparison, delay comparison, power comparison, FPGA, ASIC
23Yu-Ting Kuo, Tay-Jyi Lin, Chih-Wei Liu, Chein-Wei Jen Architecture for area-efficient 2-D transform in H.264/AVC. Search on Bibsonomy ICME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF architecture shrinking, 2-D transform, H.264-AVC, automatic volume control, very large scale integration, ASIC, application-specific integrated circuit, matrix multiplication, minimization, CMOS technology, VLSI technology
23Gerald G. Lopez, Giovanni Fiorenza, Thomas J. Bucelot, Phillip J. Restle, Mary Yvonne Lanzerotti Characterization of the impact of interconnect design on the capacitive load driven by a global clock distribution. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF load capacitance, routing, application specific integrated circuit (ASIC), clock, power dissipation
23Meeta Srivastav, S. S. S. P. Rao, Himanshu Bhatnagar Power Reduction Technique Using Multi-vt Libraries. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF High-Vt, Low-Vt, DFT, ASIC, Leakage power, DSM
23Sergiu Nedevschi, Rabin K. Patra, Eric A. Brewer Hardware speech recognition for user interfaces in low cost, low power devices. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF TIER, tamil, low power, speech recognition, ASIC
23Peter J. Osler Placement driven synthesis case studies on two sets of two chips: hierarchical and flat. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF synthesis, placement, application specific integrated circuit (ASIC), register transfer level (RTL), static timing analysis (STA), netlist
23Frank K. Gürkaynak, Andreas Burg, Norbert Felber, Wolfgang Fichtner, D. Gasser, Franco Hug, Hubert Kaeslin A 2 Gb/s balanced AES crypto-chip implementation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF AES, rijndael, ASIC implementation
23Annajirao Garimella, M. V. V. Satyanarayana, R. Satish Kumar, P. S. Murugesh, U. C. Niranjan VLSI Implementation of Online Digital Watermarking Technique with Difference Encoding for 8-Bit Gray Scale Images. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Image Processing, VLSI, Watermarking, ASIC design
23Daniela De Venuto, Michael J. Ohletz On-Chip Test for Mixed-Signal ASICs using Two-Mode Comparators with Bias-Programmable Reference Voltages. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF mixed-signal ASIC, hardware conversion, GO/NOGO test, bias programming, DfT, power consumption, overhead
23Lijun Gao, Keshab K. Parhi Block-Update Parallel Processing QRD-RLS Algorithm for Throughput Improvement with Low Power Consumption. Search on Bibsonomy ASAP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF QRD-RLS filter, block-weight-update, single-state-update parallel processing, low power, ASIC design
23Kimihiro Ogawa, Michinari Kohno, Fusako Kitamura PASTEL: A Parameterized Memory Characterization System. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF automatic characterization, cell library, timing, power, ASIC, LSI, on-chip-memory
23Jens Horstmannshoff, Thorsten Grötker, Heinrich Meyr Mapping multirate dataflow to complex RT level hardware models. Search on Bibsonomy ASAP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF multirate dataflow mapping, complex RT level hardware models, digital signal processing systems, algorithm development phase, data flow specification, RTL target architecture, HDL code generation, cycle based timing model, ASIC design complexity, multirate dataflow graphs, signal processing, hardware architecture
23Phil Nigh, Wayne M. Needham, Kenneth M. Butler, Peter C. Maxwell, Robert C. Aitken An experimental study comparing the relative effectiveness of functional, scan, IDDq and delay-fault testing. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF semiconductor testing, stuck-fault testing, ASIC device, application specific integrated circuits, functional testing, IDDQ testing, delay-fault testing, scan testing
23Jianzhong Shi, Akash Randhar, Dinesh Bhatia Macro Block Based FPGA Floorplanning. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF macro block based FPGA floorplanning, FPGA based designs, very large designs, performance driven designs, constraint-based FPGA floorplanning, flexible macro blocks, fixed macro blocks, input constraint set, topological placement, FPGA architectural constraints, large benchmark examples, VLSI floorplanning, heuristic algorithm, integrated circuit layout, ASIC design
23Werner Brockmann, Thomas Kosch, Erik Maehle Rule-Based Routing in Massively Parallel Systems. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF rule-based routing, rule-based specification, fixed rule-interpreter, interchangeable rule-base, universal routing chip, state-of-the-art VLSI-technologies, single-chip ASIC, parallel algorithms, interconnection network, knowledge based systems, multiprocessor interconnection networks, routing algorithms, network routing, massively parallel systems
23Kevin P. Acken, Heung-Nam Kim, Mary Jane Irwin, Robert Michael Owens An Architectural Design For Parallel Fractal Compression. Search on Bibsonomy ASAP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF parallel fractal compression, quad-tree fractal encoding algorithm, ASIC parallel image processing, speed improvements, circuit levels, image processing, parallel architectures, data compression, image coding, encoding, application specific integrated circuits, fractals, architectural design, gray-scale images, archival storage, encoding algorithm
23Mahesh Mehendale, Sunil D. Sherlekar, G. Venkatesh 0001 Low power realization of FIR filters using multirate architectures. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF low power realization, multirate architectures, computationally efficient implementations, power dissipation reduction, dedicated ASIC implementation, TMS320C2x/C5x programmable DSP, computational complexity, computational complexity, application specific integrated circuits, power analysis, digital filters, FIR filters, FIR filters, digital signal processing chips
23Eric W. Johnson, Jay B. Brockman Sensitivity analysis of iterative design processes. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Design Process Improvement, ASIC, Sensitivity Analysis
23Stuart W. Daniel, Jennifer Rexford, James W. Dolter, Kang G. Shin A programmable routing controller for flexible communications in point-to-point networks. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF programmable routing controller, flexible communications, communication characteristics, custom ASIC, programmable processor, multiple routing-switching microcode routines, multiprocessor interconnection networks, packet switching, packet switching, application specific integrated circuits, wormhole switching, programmable controllers, firmware, performance requirements, virtual cut-through switching, point-to-point networks
23Jean Paul Calvez, Olivier Pasquier Performance assessment of embedded Hw/Sw systems. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF embedded Hw/Sw systems, VLSI components, heterogeneous multiprocessor architectures, complex real-time applications, performance indexes, real-time event occurrences, software tasks, hardware functions, real-time performance analyzer, MCSE methodology, performance evaluation, real-time systems, VLSI, systems analysis, application specific integrated circuits, ASIC, performance assessment, event trace
23Harry Hollander, Bradley S. Carlson, Toby D. Bennett Synthesis of SEU-tolerant ASICs using concurrent error correction. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF radiation hardening (electronics), SEU-tolerant ASIC synthesis, single error correction/double error detection Hamming code, delay overhead, memory element set partitioning, error correction codes, sequential circuits, sequential circuit, application specific integrated circuits, logic CAD, circuit layout CAD, single event upsets, logic partitioning, Hamming codes, fault tolerant design, area overhead, memory elements, design experiments, concurrent error correction
23Puneet Sawhney, Haroon Rasheed Static RAM generators with automated characterization techniques for a 0.5 micron triple-metal embedded array. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF static RAM generators, automatic generator characterisation tool, triple-metal embedded array, metallized SRAMs, single-port static RAMs, dual-port static RAMs, user-defined size, 0.5 micron, application specific integrated circuits, integrated circuit design, circuit CAD, aspect ratio, ASIC design, SRAM chips, SRAM chips, module generators
23Santonu Sarkar, Anupam Basu, Arun K. Majumdar Synchronization of communicating modules and processes in high level synthesis. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF communicating modules, object oriented design framework, nonblocking channel, real life image processing, synchronization, high level synthesis, high level synthesis, application specific integrated circuits, synchronisation, object-oriented methods, component reuse, ASIC designs, image processing equipment
23Jay K. Adams, Donald E. Thomas Multiple-process behavioral synthesis for mixed hardware-software systems. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF automated iterative improvement technique, concurrency optimization, concurrency tradeoffs, cost/performance ratio, hardware-software tradeoffs, mixed hardware-software systems, multiple-process behavioral synthesis, software engineering, resource allocation, concurrency control, controllers, optimisation, high level synthesis, logic design, multiprocessing systems, microprocessors, ASICs, application specific integrated circuits, ASIC, microprocessor chips, cost-benefit analysis
21Adarsha Rao, Mythri Alle, Sainath V, Reyaz Shaik, Rajashekhar Chowhan, Sreeramula Sankaraiah, Sravanthi Mantha, S. K. Nandy 0001, Ranjani Narayan An Input Triggered Polymorphic ASIC for H.264 Decoding. Search on Bibsonomy ASAP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Wagner Vieira Silvério, Janaína Domingues Costa, João Leonardo Fragoso, Julio Leão Silva Jr. Low-area ASIC implementation for configurable coefficients FIR pulse shape filters of digital TV systems. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF communication filters, digital communications, area optimization
21Takeshi Sugawara 0001, Naofumi Homma, Takafumi Aoki, Akashi Satoh High-performance ASIC implementations of the 128-bit block cipher CLEFIA. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Jalpa Shah, Houri Johari, Ajit Sharma, Farrokh Ayazi CMOS ASIC for MHz silicon BAW gyroscope. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Akashi Satoh ASIC hardware implementations for 512-bit hash function Whirlpool. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Simon Heinzle, Olivier Saurer, Sebastian Axmann, Diego Browarnik, Andreas Schmidt, Flavio Carbognani, Peter Luethi, Norbert Felber, Markus H. Gross A transform, lighting and setup ASIC for surface splatting. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Tong Gan, Kristof Denolf, Gauthier Lafruit, Iole Moccagatta, Antoine Dejonghe, Gregory Lenoir Modelling Energy Consumption of an ASIC MPEG-4 Simple Profile Encoder. Search on Bibsonomy ICME The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Elizabeth J. Brauer, Ilhan Hatirnaz, Stéphane Badel, Yusuf Leblebici Via-programmable expanded universal logic gate in MCML for structured ASIC applications: circuit design. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Bhavna Agrawal, Jeffrey G. Hemmett, Karl K. Moody, David B. White Techniques to address increased dimensionality of ASIC library design. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Mohammed Sayed, Ihab Amer, Wael M. Badawy Towards an H.264/AVC full encoder on chip: an efficient real-time VBSME ASIC chip. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Darshana Patel, Radu Muresan Triple-DES ASIC Module for a Power-Smart System-on-Chip Architecture. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Patrick de la Hamette, Gerhard Tröster FingerMouse - Architecture of an ASIC-based Mobile Stereovision Smart Camera. Search on Bibsonomy ISWC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Siva Embanath, Ramakrishnan Venkata Exceptional ASIC: Through Automatic Timing Exception Generation (ATEG). Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Leibo Liu, Hongying Meng, Milin Zhang 0001 An ASIC Implementation of Lifting-Based 2-D Discrete Wavelet Transform. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Jin Park, Jeong-Tae Hwang, Young-Chul Kim 0001 FPGA and ASIC Implementation of ECC Processor for Security on Medical Embedded System. Search on Bibsonomy ICITA (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Tianpei Zhang, Sachin S. Sapatnekar Buffering global interconnects in structured ASIC design. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Joachim Neves Rodrigues, Matthias Kamuf, Hugo Hedberg, Viktor Öwall A Manual on ASIC Front to Back End Design Flow. Search on Bibsonomy MSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Bradley R. Quinton, Mark R. Greenstreet, Steven J. E. Wilton Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Ramkrishna Swamy, Stephen Bates, Tyler L. Brandon Architectures for ASIC implementations of low-density parity-check convolutional encoders and decoders. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 4296 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license