The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase D-latch (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1974-1989 (15) 1990-1993 (16) 1994-1995 (21) 1996-1997 (20) 1998-1999 (32) 2000 (16) 2001 (19) 2002 (28) 2003 (34) 2004 (32) 2005 (36) 2006 (44) 2007 (40) 2008 (31) 2009 (29) 2010 (25) 2011 (26) 2012 (22) 2013 (23) 2014 (18) 2015 (24) 2016 (29) 2017 (32) 2018 (42) 2019 (34) 2020 (34) 2021 (34) 2022 (35) 2023 (52) 2024 (16)
Publication types (Num. hits)
article(312) incollection(1) inproceedings(546)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 382 occurrences of 260 keywords

Results
Found 861 publication records. Showing 859 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
27Massimo Alioto, Gaetano Palumbo Modeling Propagation Delay of MUX, XOR, and D-Latch Source-Coupled Logic Gates. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Nikolai Starodoubtsev, Alexandre V. Bystrov, Alexandre Yakovlev Semi-modular Latch Chains for Asynchronous Circuit Design. Search on Bibsonomy PATMOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Saeid Nooshabadi, Juan A. Montiel-Nelson, Antonio Núñez, Roberto Sarmiento, Javier Sosa A Single Phase Latch for High Speed GaAs Domino Circuits. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Nicola Nicolici, Bashir M. Al-Hashimi Scan Latch Partitioning into Multiple Scan Chains for Power Minimization in Full Scan Sequential Circuits. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Rajiv V. Joshi, Wei Hwang, S. C. Wilson, Ching-Te Chuang "Cool low power" 1GHz multi-port register file and dynamic latch in 1.8 V, 0.25 mum SOI and bulk technology (poster session). Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Kei-Yong Khoo, Chao-Liang Chen, Alan N. Willson Jr. A CMOS pipelined carry-save array using true single-phase single-transistor-latch clocking. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Stephen B. Furber, Paul Day Four-phase micropipeline latch control circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
27Ellen Sentovich, Horia Toma, Gérard Berry Latch optimization in circuits generated from high-level descriptions. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF sequential optimisation, high-level synthesis, state assignment
27Karem A. Sakallah, Trevor N. Mudge, Oyekunle A. Olukotun Analysis and design of latch-controlled synchronous digital circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
27Karem A. Sakallah, Trevor N. Mudge, Kunle Olukotun Analysis and Design of Latch-Controlled Synchronous Digital Circuits. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
21Lik Wong, Nimar S. Arora, Lei Gao, Thuvan Hoang, Jingwei Wu Oracle Streams: A High Performance Implementation for Near Real Time Asynchronous Replication. Search on Bibsonomy ICDE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Jing Wang 0001, Gianluca Meloni, Gianluca Berrettini, Luca Potì, Antonella Bogoni All-Optical Clocked Flip-Flops Exploiting SOA-Based SR Latches and Logic Gates. Search on Bibsonomy OSC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optical flip-flop, optical logic gate, optical signal processing, semiconductor optical amplifier (SOA)
21Yibo Chen, Yuan Xie 0001 Tolerating process variations in high-level synthesis using transparent latches. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Eli Arbel, Oleg Rokhlenko, Karen Yorav SAT-based synthesis of clock gating functions using 3-valued abstraction. Search on Bibsonomy FMCAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Thomas Baumann, Doris Schmitt-Landsiedel, Christian Pacha Architectural assessment of design techniques to improve speed and robustness in embedded microprocessors. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF variability-aware design, robustness, micro-architecture
21David A. Papa, Tao Luo 0002, Michael D. Moffitt, Chin Ngai Sze, Zhuo Li 0001, Gi-Joon Nam, Charles J. Alpert, Igor L. Markov RUMBLE: An Incremental Timing-Driven Physical-Synthesis Optimization Algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Christophe Giacomotto, Mandeep Singh, Milena Vratonjic, Vojin G. Oklobdzija Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Clocked storage elements, VLSI, power consumption, flip-flops, voltage scaling, clock gating, power gating, energy optimization, MTCMOS, circuit optimization, circuit tuning, circuit analysis
21Yanfeng Wang, Qiang Zhou 0001, Yici Cai, Jiang Hu, Xianlong Hong, Jinian Bian Low power clock buffer planning methodology in F-D placement for large scale circuit design. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21David A. Papa, Tao Luo 0002, Michael D. Moffitt, Chin Ngai Sze, Zhuo Li 0001, Gi-Joon Nam, Charles J. Alpert, Igor L. Markov RUMBLE: an incremental, timing-driven, physical-synthesis optimization algorithm. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF static timing analysis, timing-driven placement
21Jun Tomisawa, Kazuyasu Nishikawa, Satoshi Yamakawa Low-current consumption CMOS comparator using charge-storage amplifier for A/D converters. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Harmander Singh, Kanak Agarwal, Dennis Sylvester, Kevin J. Nowka Enhanced Leakage Reduction Techniques Using Intermediate Strength Power Gating. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Mototsugu Hamada, Takeshi Kitahara, Naoyuki Kawabe, Hironori Sato, Tsuyoshi Nishikawa, Takayoshi Shimazawa, Takahiro Yamashita, Hiroyuki Hara, Yukihito Oowaki An automated runtime power-gating scheme. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Shubha Bommalingaiahnapallya, Kin-Joe Sham, Mahmoud Reza Ahmadi, Ramesh Harjani High-Speed Circuits for a Multi-Lane 12 Gbps CMOS PRBS Generator. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Lih-Yih Chiou, Shien-Chun Luo An Energy-Efficient Dual-Edge Triggered Level-Converting Flip-Flop. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Armin Alaghi, Naghmeh Karimi, Mahshid Sedghi, Zainalabedin Navabi Online NoC Switch Fault Detection and Diagnosis Using a High Level Fault Mode. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Tiberiu Chelcea, Girish Venkataramani, Seth Copen Goldstein Self-Resetting Latches for Asynchronous Micro-Pipelines. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Ganesh Venkataraman, Jiang Hu, Frank Liu 0001, Cliff C. N. Sze Integrated placement and skew optimization for rotary clocking. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Lizheng Zhang, Jeng-Liang Tsai, Weijen Chen, Yuhen Hu, Charlie Chung-Ping Chen Convergence-provable statistical timing analysis with level-sensitive latches and feedback loops. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Navneeth Kankani, Vineet Agarwal, Janet Meiling Wang A probabilistic analysis of pipelined global interconnect under process variations. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Jacqueline E. Rice A new look at reversible memory elements. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Bhavna Agrawal, Jeffrey G. Hemmett, Karl K. Moody, David B. White Techniques to address increased dimensionality of ASIC library design. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Fatemeh Aezinia, S. Najafzadeh, Ali Afzali-Kusha Novel High Speed and Low Power Single and Double Edge-Triggered Flip-Flops. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Hemangee K. Kapoor Formal Modelling and Verification of an Asynchronous DLX Pipeline. Search on Bibsonomy SEFM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Antonio G. M. Strollo, Davide De Caro, Ettore Napoli, Nicola Petra A novel high-speed sense-amplifier-based flip-flop. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Manan Syal, Michael S. Hsiao, Suriyaprakash Natarajan, Sreejit Chakravarty Untestable Multi-Cycle Path Delay Faults in Industrial Designs. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Tomás Maul, Sapiyan Baba, Azwina M. Yusof Dynamic Inputs and Attraction Force Analysis for Visual Invariance and Transformation Estimation. Search on Bibsonomy ICNC (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Aliakbar Ghadiri, Hamid Mahmoodi-Meimand Pre-capturing static pulsed flip-flops. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Samad Sheikhaei, Shahriar Mirabbasi, André Ivanov A 0.35µm CMOS comparator circuit for high-speed ADC applications. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Yijun Liu, Stephen B. Furber Minimizing the Power Consumption of an Asynchronous Multiplier. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Abdel Ejnioui, Abdelhalim Alsharqawi Pipeline Design Based on Self-Resetting Stage Logic. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Ko Yoshikawa, Yasuhiko Hagihara, Keisuke Kanamaru, Yuichi Nakamura 0002, Shigeto Inui, Takeshi Yoshimura Timing optimization by replacing flip-flops to latches. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Minoru Watanabe, Fuminori Kobayashi A High-Density Optically Reconfigurable Gate Array Using Dynamic Method. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Abdel Ejnioui, Abdelhalim Alsharqawi Self-resetting stage logic pipelines. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF clockless, self-resetting, pipeline, asynchronous
21Victor V. Zyuban Optimization of scannable latches for low energy. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21E. Malley, Ariel Salinas, Kareem Ismail, Lawrence T. Pileggi Power Comparison of Throughput Optimized IC Busses. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Omid Mirmotahari, Yngvar Berg A Novel Multiple-Input Multiple-Valued Semi-Floating-Gate LATC. Search on Bibsonomy ISMVL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Yukiya Miura, Shuichi Seno Behavior Analysis of Internal Feedback Bridging Faults in CMOS Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF fault behavior, feedback bridging faults, IDDQ testing, CMOS circuits, fault analysis
21Gianpiero Cabodi, Paolo Camurati, Stefano Quer Dynamic Scheduling and Clustering in Symbolic Image Computation. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Sang-Wook Kim, Wan Choi, Byoung-Ho Kim Design and Implementation of the Concurrency Control Manager in the Main-Memory DBMS Tachyon. Search on Bibsonomy COMPSAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF main-memory DBMSs, concurrency control, DBMSs, locking, latching
21Nikola Nedovic, Marko Aleksic, Vojin G. Oklobdzija Comparative analysis of double-edge versus single-edge triggered clocked storage elements. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Maryam Shojaei Baghini, Madhav P. Desai Impact of Technology Scaling on Metastability Performance of CMOS Synchronizing Latches. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF CMOS latches, Technology Scaling, Metastability
21M. S. Hrishikesh, Doug Burger, Stephen W. Keckler, Premkishore Shivakumar, Norman P. Jouppi, Keith I. Farkas The Optimal Logic Depth Per Pipeline Stage is 6 to 8 FO4 Inverter Delays. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF instruction queue clock rate, Pipelining
21Kevin Stanley High-Accuracy Flush-and-Scan Software Diagnostic. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Xiaoqiang Shou, Michael M. Green A family of CMOS latches with 3 stable operating points. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Sabyasachi Sengupta, Somavalli Ramanathan, Biswadeep Chatterjee, Dibyendu Goswami Minimizing Area and Maximizing Porosity for Cell Layouts Using Innovative Routing Strategies. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Mark Vesterbacka A robust differential scan flip-flop. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Jeremy Casas, Hannah Honghua Yang, Manpreet Khaira, Mandar Joshi, Thomas Tetzlaff, Steve W. Otto, Erik Seligman Logic Verification of Very Large Circuits Using Shark. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Gagan Hasteer, Anmol Mathur, Prithviraj Banerjee Efficient equivalence checking of multi-phase designs using phase abstraction and retiming. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF binary decision, encoding density, multi-phase FSM, product machine, sequential hardware equivalence, diagram, steady states
21Kazumi Hatayama, Mitsuji Ikeda, Masahiro Takakura, Satoshi Uchiyama, Yoriyuki Sakamoto Application of a Design for Delay Testability Approach to High Speed Logic LSIs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Delay Test Generation, Design for Testability, Delay Testing
21Haiming Jin, Ravishankar K. Iyer, Mei-Chen Hsueh FAMAS: FAult Modeling via Adaptive Simulation. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Steven M. Nowick, Montek Singh High-Performance Asynchronous Pipelines: An Overview. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF elastic circuits, latch controllers, pipelines, asynchronous, dynamic logic, design and test, micropipelines
19Martin Saint-Laurent, Animesh Datta A low-power clock gating cell optimized for low-voltage operation in a 45-nm technology. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF clock gater, clock gating cell, local clock buffer, set-reset latch
19Yongheng Guo, Wei Cai, Tiejun Lu, Zongmin Wang A Novel 1GSPS Low Offset Comparator for High Speed ADC. Search on Bibsonomy NCM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF high speed ADC, high speed comparator, preamplifier latch comparator, low offset comparator
19Arunprasad Venkatraman, Rajesh Garg, Sunil P. Khatri A robust, fast pulsed flip-flop design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF flip-flop, latch
19Marc Blenkiron, D. K. Arvind 0001, Jamie A. Davies Design of an irreversible DNA memory element. Search on Bibsonomy Nat. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF DNA computing, Rotatable, Latch, Synthetic biology, Memory element
19Mahmoud Lotfi Anhar, Mohammad Ali Jabraeil Jamali The Optimum Location of Delay Latches Between Dynamic Pipeline Stages. Search on Bibsonomy APPT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Minimum, MAL, Pipeline, Latency, Collision, Table, Reservation, Latch, Average
19Dac C. Pham, Hans-Werner Anderson, Erwin Behnen, Mark Bolliger, Sanjay Gupta, H. Peter Hofstee, Paul E. Harvey, Charles R. Johns, James A. Kahle, Atsushi Kameyama, John M. Keaty, Bob Le, Sang Lee, Tuyen V. Nguyen, John G. Petrovick, Mydung Pham, Juergen Pille, Stephen D. Posluszny, Mack W. Riley, Joseph Verock, James D. Warnock, Steve Weitzel, Dieter F. Wendel Key features of the design methodology enabling a multi-core SoC implementation of a first-generation CELL processor. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF 64-bit Power Architecture, design dependency solution, digital thermal sensor, flexible IO, hardware content protection, high-performance latch, linear sensor, local clock buffer, multi-operating system, synergistic processor, real-time system, modularity, power management, Linux, multi-core, multi-threading, SOC, thermal management, design environment, CELL Processor, clock distribution, virtualization technology, SOI, correct-by-construction, re-use, design hierarchy
19Lawrence T. Clark, Rakesh Patel, Timothy S. Beatty Managing standby and active mode leakage power in deep sub-micron design. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF SRAM leakage control, TGSRAM, battery lifetime, drowsy mode, thick gate shadow latch, transistor leakage, MTCMOS
19Demos Anastasakis, Robert F. Damiano, Hi-Keung Tony Ma, Ted Stanion A practical and efficient method for compare-point matching. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF combinational verification, latch mapping, equivalence checking
19Samy Makar, Edward J. McCluskey ATPG for scan chain latches and flip-flops. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF bistable element, scan chain circuit, combinational defect detection, algorithm, ATPG, automatic test pattern generation, automatic testing, stuck-at fault, flip-flop, latch, checking experiment
19Suresh Rajgopal Challenges in Low Power Microprocessor Design. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF power benchmarks, latch power, idle power, active power, clock enabling, max power, thermal power, transient power, low-power, clock gating, microprocessor design, di/dt
19Lakshminarayana Pappu, Michael L. Bushnell, Vishwani D. Agrawal, Mandyam-Komar Srinivas Statistical path delay fault coverage estimation for synchronous sequential circuits. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF path delay fault coverage estimation, multi-valued algebra, signal statistics, latch updating, fault diagnosis, logic testing, delays, probability, controllability, controllability, statistical analysis, sequential circuits, observability, observabilities, logic simulation, synchronous sequential circuits, statistical estimation
19Víctor H. Champac, Joan Figueras Testability of floating gate defects in sequential circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF floating gate defect testability, logic detectability conditions, defective transistors, logically untestable branches, scan path cell, CMOS latch cell, scan path flip-flops, fault diagnosis, logic testing, integrated circuit testing, sequential circuits, sequential circuits, simulated results, flip-flops, CMOS logic circuits, integrated circuit modelling, I/sub DDQ/ testing
16Jingfei Wang, Guishu Liang, Xiangyu Zhang 0004, Lei Qi 0005 A Refinement Multilevel Turn-off Method for Dynamic Latch-up and Tail Current Suppression in DC Breaker Ultra-High Current Switch Applications. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Jooyoung Bae, Wonsik Oh, Jahyun Koo 0003, Chengshuo Yu, Bongjin Kim CTLE-Ising: A Continuous-Time Latch-Based Ising Machine Featuring One-Shot Fully Parallel Spin Updates and Equalization of Spin States. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Xingyu Wang, Ruilin Zhang, Kunyang Liu, Hirofumi Shinohara A 0.116 pJ/bit Latch-Based True Random Number Generator Featuring Static Inverter Selection and Noise Enhancement. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Licai Hao, Yaling Wang, Yunlong Liu, Shiyu Zhao, Xinyi Zhang, Yang Li, Wenjuan Lu, Chunyu Peng, Qiang Zhao 0007, Yongliang Zhou, Chenghu Dai, Zhiting Lin, Xiulong Wu Low-Cost and Highly Robust Quadruple Node Upset Tolerant Latch Design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Licai Hao, Xinyi Zhang, Chenghu Dai, Qiang Zhao 0007, Wenjuan Lu, Chunyu Peng, Yongliang Zhou, Zhiting Lin, Xiulong Wu Soft-Error-Immune Quadruple-Node-Upset Tolerant Latch Based on Polarity Design and Source-Isolation Technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Aibin Yan, Litao Wang, Jie Cui 0004, Zhengfeng Huang, Tianming Ni, Patrick Girard 0001, Xiaoqing Wen Nonvolatile Latch Designs With Node-Upset Tolerance and Recovery Using Magnetic Tunnel Junctions and CMOS. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Yuxin Bai, Chen Xin, Xinjie Zhou, Yanan Yin, Ying Zhang Double-Node-Upset Self-Recoverable Latch Design for Wide Voltage Range Application. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Suraj Kumar Prusty, V. K. Surya, Nijwm Wary Energy Efficient Integrated Summer and Latch-Based DFE With Reduced Tap Loading. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Zhengfeng Huang, Yan Zhang, Lei Ai, Huaguo Liang, Tianming Ni, Tai Song, Aibin Yan Designs of High-Speed Triple-Node-Upset Hardened Latch Based on Dual-Modular-Redundancy. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Hui Xu, Chaoming Liu, Ruijun Ma, Tai Song, Zhengfeng Huang, Jun Wang, Xuewei Qin, Yu Xia Hardened latch designs based on the characteristic of transistor for mitigating multiple-node-upsets in harsh radiation environments. Search on Bibsonomy Microelectron. J. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Kasi Bandla, Dipankar Pal Strong-ARM Dynamic Latch Comparators: Design and Analyses on CAD Platform. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Javad Bahrami, Mohammad Ebrahimabadi, Sylvain Guilley, Jean-Luc Danger, Naghmeh Karimi Impact of Process Mismatch and Device Aging on SR-Latch Based True Random Number Generators. Search on Bibsonomy COSADE The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Sun-A Jo, Ji-Won Seo, Min-Jae Seo A Hardware-efficient Rate Encoding Hardware with Latch-based TRNG. Search on Bibsonomy ICEIC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Martín Gavilánez, Silvana Guitarra A Calibrated Memristor Model Implementation for an SR-Latch Based on CMOS-Memristor Technology. Search on Bibsonomy LASCAS The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Jooyoung Bae, Jahyun Koo 0003, Chaeyun Shim, Bongjin Kim 15.5 LISA: A 576×4 All-in-One Replica-Spins Continuous-Time Latch-Based Ising Computer Using Massively-Parallel Random-Number Generations and Replica Equalizations. Search on Bibsonomy ISSCC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Massimo Giordano, Rohan Doshi, Qianyun Lu, Boris Murmann TinyForge: A Design Space Exploration to Advance Energy and Silicon Area Trade-offs in tinyML Compute Architectures with Custom Latch Arrays. Search on Bibsonomy ASPLOS (3) The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Yuan Guo, Qianqian Tong, Pengbo Zhao, Yuru Zhang, Dangxiao Wang Electromagnetic-Actuated Soft Tactile Device Using a Pull-Push Latch Structure. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Mohammad Gholami, Zaman Amirzadeh Low-power, high-speed, and area-efficient sequential circuits by quantum-dot cellular automata: T-latch and counter study. Search on Bibsonomy Frontiers Inf. Technol. Electron. Eng. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Shuo Cai, Caicai Xie, Yan Wen, Weizheng Wang, Fei Yu 0009, Lairong Yin Four-input-C-element-based multiple-node-upset-self-recoverable latch designs. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Hui Xu, Jing Zhou, Ruijun Ma, Huaguo Liang, Zhengfeng Huang, Chaoming Liu LQNTL: Low-overhead quadruple-node-upset self-recovery latch based on triple-mode redundancy. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Weizheng Wang, Jian Liang, Xiangqi Wang, Xianmin Pan, Shuo Cai A secure scan architecture using parallel latch-based lock. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Zhengfeng Huang, Dongxing Ma, Runwu Ji, Huaguo Liang, Aibin Yan, Tai Song, Tianming Ni Overhead Optimized and Quadruple-Node-Upset Self-Recoverable Latch Design Based on Looped C-Element Matrix. Search on Bibsonomy IEEE Trans. Aerosp. Electron. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Zhengfeng Huang, Lanxi Duan, Yan Zhang, Tianming Ni, Aibin Yan A Soft-Error-Immune Quadruple-Node-Upset Tolerant Latch. Search on Bibsonomy IEEE Trans. Aerosp. Electron. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Seyedehsomayeh Hatefinasab, Alfredo Medina-Garcia, Diego Pedro Morales, Encarnación Castillo, Noel Rodriguez Rule-Based Design for Low-Cost Double-Node Upset Tolerant Self-Recoverable D-Latch. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Filippo Minnella, Jordi Cortadella, Mario R. Casu, Mihai T. Lazarescu, Luciano Lavagno Mix & Latch: An Optimization Flow for High-Performance Designs With Single-Clock Mixed-Polarity Latches and Flip-Flops. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Xin Chen, Yuxin Bai, Jianpeng Cao, Lei Wang, Xinjie Zhou, Ying Zhang, Weiqiang Liu 0001 Low-Overhead Triple-Node-Upset-Tolerant Latch Design in 28-nm CMOS. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Yan Liu, Yan Li 0084, Xu Cheng 0002, Jun Han 0003, Xiaoyang Zeng A Non-Redundant Latch With Key-Node-Upset Obstacle of Beneficial Efficiency for Harsh Environments Applications. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 859 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license