|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 28599 occurrences of 9721 keywords
|
|
|
Results
Found 32426 publication records. Showing 32426 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
13 | Niklas Kirschnick, Frank Steuer, Pablo Vidales, Sahin Albayrak |
Adaptive window size to reduce the influence of heterogeneous mobility on TCP performance. |
ISCC |
2008 |
DBLP DOI BibTeX RDF |
|
13 | Vassilis-Javed Khan, Panos Markopoulos 0001, Berry Eggen, Wijnand A. IJsselsteijn, Boris E. R. de Ruyter |
Reconexp: a way to reduce the data loss of the experiencing sampling method. |
Mobile HCI |
2008 |
DBLP DOI BibTeX RDF |
day reconstruction method, awareness systems, family communication, experience sampling method |
13 | Hairong Chang, Hua Tang |
A simple technique to reduce clock jitter effects in continuous-time delta-sigma modulators. |
ISCAS |
2008 |
DBLP DOI BibTeX RDF |
|
13 | Walid Atabany, Patrick Degenaar |
Parallelism to reduce power consumption on FPGA spatiotemporal image processing. |
ISCAS |
2008 |
DBLP DOI BibTeX RDF |
|
13 | Pravesh Gaonjur, N. Z. Tarapore, S. G. Pukale, M. L. Dhore |
Using Neuro-Fuzzy Techniques to reduce false alerts in IDS. |
ICON |
2008 |
DBLP DOI BibTeX RDF |
|
13 | Yolanta Beres, Jonathan Griffin, Simon Shiu, Max Heitman, David Markle, Peter Ventura |
Analysing the Performance of Security Solutions to Reduce Vulnerability Exposure Window. |
ACSAC |
2008 |
DBLP DOI BibTeX RDF |
|
13 | Yinhe Han 0001, Yu Hu 0001, Xiaowei Li 0001, Huawei Li 0001, Anshuman Chandra |
Embedded Test Decompressor to Reduce the Required Channels and Vector Memory of Tester for Complex Processor Circuit. |
IEEE Trans. Very Large Scale Integr. Syst. |
2007 |
DBLP DOI BibTeX RDF |
|
13 | Kazuyoshi Murata, Megumi Nakamura, Yu Shibuya, Itaru Kuramoto, Yoshihiro Tsujino |
Visual Feedback to Reduce the Negative Effects of Message Transfer Delay on Voice Chatting. |
HCI (9) |
2007 |
DBLP DOI BibTeX RDF |
Message Transfer Delay, Unintentional Interruption, Status Monitor, Voice Chatting |
13 | Seongmoon Wang, Wenlong Wei |
A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture. |
ASP-DAC |
2007 |
DBLP DOI BibTeX RDF |
peak current reduction, average power dissipation, clock tree construction, special scan cells, scan chain reordering, ATPG, scan designs |
13 | Shannon Koh, Oliver Diessel |
Module Graph Merging and Placement to Reduce Reconfiguration Overheads in Paged FPGA Devices. |
FPL |
2007 |
DBLP DOI BibTeX RDF |
|
13 | Youping Fan, Min Xiong, Lu Liu, Jiguan Men, Cheng Tan, Yunping Chen |
Reduce Feature Based NN for Transient Stability Analysis of Large-Scale Power Systems. |
ISNN (3) |
2007 |
DBLP DOI BibTeX RDF |
|
13 | Shingo Yoshizawa, Yoshikazu Miyanaga |
Use of a Variable Wordlength Technique in an OFDM Receiver to Reduce Energy Dissipation. |
ISCAS |
2007 |
DBLP DOI BibTeX RDF |
|
13 | Kedarnath J. Balakrishnan, Lei Fang |
RTL Test Point Insertion to Reduce Delay Test Volume. |
VTS |
2007 |
DBLP DOI BibTeX RDF |
|
13 | Xiaolan Joy Zhang, Sun-il Kim, Steven S. Lumetta |
Reduced flow routing: Leveraging residual capacity to reduce blocking in GMPLS networks. |
BROADNETS |
2007 |
DBLP DOI BibTeX RDF |
|
13 | Rajamani Sethuram, Omar I. Khan, Hari Vijay Venkatanarayanan, Michael L. Bushnell |
A Neural Net Branch Predictor to Reduce Power. |
VLSI Design |
2007 |
DBLP DOI BibTeX RDF |
|
13 | Vladimir Nikulin, Geoffrey J. McLachlan |
Merging Algorithm to Reduce Dimensionality in Application to Web-Mining. |
Australian Conference on Artificial Intelligence |
2007 |
DBLP DOI BibTeX RDF |
distance-based clustering, log-likelihood, web-traffic data, data compression |
13 | Myun-Seok Cheon, Shabbir Ahmed 0001, Faiz A. Al-Khayyal |
A branch-reduce-cut algorithm for the global optimization of probabilistically constrained linear programs. |
Math. Program. |
2006 |
DBLP DOI BibTeX RDF |
Probabilistically Constrained Linear Programs, Chance Constrained Programs, Global Optimization, Branch-and-bound |
13 | Kyu-Seek Sohn, Seung Yeob Nam, Dan Keun Sung |
A Distributed LSP Scheme to Reduce Spare Bandwidth Demand in MPLS Networks. |
IEEE Trans. Commun. |
2006 |
DBLP DOI BibTeX RDF |
|
13 | Yen-Jen Chang |
Lazy BTB: reduce BTB energy consumption using dynamic profiling. |
ASP-DAC |
2006 |
DBLP DOI BibTeX RDF |
|
13 | Makoto Shimamura, Kenji Kono |
Using Attack Information to Reduce False Positives in Network IDS. |
ISCC |
2006 |
DBLP DOI BibTeX RDF |
|
13 | Li Ou, Jizhong Han |
A Fast Read/Write Process to Reduce RDMA Communication Latency. |
IWNAS |
2006 |
DBLP DOI BibTeX RDF |
|
13 | Yuichi Sei, Kazutaka Matsuzaki, Shinichi Honiden |
An Algorithm to Reduce the Communication Traffic for Multi-Word Searches in a Distributed Hash Table. |
IFIP TCS |
2006 |
DBLP DOI BibTeX RDF |
|
13 | Kyong Jung, Chanik Park |
A Technique to Reduce Preemption Overhead in Real-Time Multiprocessor Task Scheduling. |
Asia-Pacific Computer Systems Architecture Conference |
2005 |
DBLP DOI BibTeX RDF |
|
13 | Hui-shin Chae, Kye-san Lee, Jae Sang Cha |
An Adaptive Repeater System for OFDM with Frequency Hopping Control to Reduce the Interference. |
KES (1) |
2005 |
DBLP DOI BibTeX RDF |
Repeater System, ISI (Inter Symbol Interference), Frequency Hopping Controller |
13 | Maurizio Pighin, Anna Marzona |
Optimizing Test to Reduce Maintenance. |
ICSM |
2005 |
DBLP DOI BibTeX RDF |
|
13 | Patricia Balbastre, Ismael Ripoll, Josep Vidal Canet, Alfons Crespo |
A Task Model to Reduce Control Delays. |
Real Time Syst. |
2004 |
DBLP DOI BibTeX RDF |
timing jitter, multi-rate controllers, schedulability analysis, control design |
13 | Arnaud Legrand, Loris Marchal, Yves Robert |
Optimizing the Steady-State throughput of Scatter and Reduce Operations on Heterogeneous Platforms. |
IPDPS |
2004 |
DBLP DOI BibTeX RDF |
|
13 | Saurabh Chheda, Osman S. Unsal, Israel Koren, C. Mani Krishna 0001, Csaba Andras Moritz |
Combining compiler and runtime IPC predictions to reduce energy in next generation architectures. |
Conf. Computing Frontiers |
2004 |
DBLP DOI BibTeX RDF |
fetch throttling, low power design, instruction level parallelism, compiler architecture interaction, adaptive voltage scaling |
13 | Ben H. H. Juurlink, Pepijn J. de Langen |
Dynamic techniques to reduce memory traffic in embedded systems. |
Conf. Computing Frontiers |
2004 |
DBLP DOI BibTeX RDF |
memory traffic, caches, power consumption, embedded processors |
13 | Hiroyuki Yotsuyanagi, Toshimasa Kuchii, Shigeki Nishikawa, Masaki Hashizume, Kozo Kinoshita |
On Configuring Scan Trees to Reduce Scan Shifts based on a Circuit Structure. |
DELTA |
2004 |
DBLP DOI BibTeX RDF |
|
13 | Kai-Chao Yang, Chun-Ming Huang, Jia-Shung Wang |
Restructuring GOP Algorithm to Reduce Video Server Load on VCR Functionality. |
ICPP |
2003 |
DBLP DOI BibTeX RDF |
|
13 | Armin Wolf |
Reduce-To-The-Opt - A Specialized Search Algorithm for Contiguous Task Scheduling. |
CSCLP |
2003 |
DBLP DOI BibTeX RDF |
|
13 | Massimo Bernaschi, Giulio Iannello, Mario Lauria |
Efficient Implementation of Reduce-Scatter in MPI. |
PDP |
2002 |
DBLP DOI BibTeX RDF |
LogGP model, MPI, Collective Communication |
13 | Ramaswamy Palaniappan, S. Anandan, Paramesran Raveendran |
Two level PCA to reduce noise and EEG from evoked potential signals. |
ICARCV |
2002 |
DBLP DOI BibTeX RDF |
|
13 | Yoshinobu Higami, Shin-ya Kobayashi, Yuzo Takamatsu |
A Method to Reduce Power Dissipation during Test for Sequential Circuits. |
Asian Test Symposium |
2002 |
DBLP DOI BibTeX RDF |
|
13 | Andrea G. M. Cilio, Henk Corporaal |
Global Variable Promotion: Using Registers to Reduce Cache Power Dissipation. |
CC |
2002 |
DBLP DOI BibTeX RDF |
|
13 | Nikolaos V. Sahinidis |
Global Optimization and Constraint Satisfaction: The Branch-and-Reduce Approach. |
COCOS |
2002 |
DBLP DOI BibTeX RDF |
|
13 | Tsutomu Gamou |
A Working-Set Approach to Reduce the Download-Execution Time of Mobile Programs. |
ICDCS |
2002 |
DBLP DOI BibTeX RDF |
|
13 | Juergen Rilling, Ahmed Seffah, Christophe Bouthlier |
The CONCEPT Project - Applying Source Code Analysis to Reduce Information Complexity of Static and Dynamic Visualization Techniques. |
VISSOFT |
2002 |
DBLP DOI BibTeX RDF |
|
13 | Chandra Krintz, Brad Calder |
Using Annotation to Reduce Dynamic Optimization Time. |
PLDI |
2001 |
DBLP DOI BibTeX RDF |
Java |
13 | Stefanos Kaxiras, Zhigang Hu, Margaret Martonosi |
Cache decay: exploiting generational behavior to reduce cache leakage power. |
ISCA |
2001 |
DBLP DOI BibTeX RDF |
|
13 | Guijun Wang, Georgina Cone |
A Method to Reduce Risks in Building Distributed Enterprise Systems. |
EDOC |
2001 |
DBLP DOI BibTeX RDF |
|
13 | Irith Pomeranz, Sudhakar M. Reddy |
Static Test Compaction for Scan-Based Designs to Reduce Test Application Time. |
J. Electron. Test. |
2000 |
DBLP DOI BibTeX RDF |
scan circuits, test application time, static test compaction |
13 | Stefanos Kaxiras, Zhigang Hu, Girija J. Narlikar, Rae McLellan |
Cache-Line Decay: A Mechanism to Reduce Cache Leakage Power. |
PACS |
2000 |
DBLP DOI BibTeX RDF |
|
13 | Shanq-Jang Ruan, Rung-Ji Shang, Feipei Lai, Shyh-Jong Chen, Xian-Jun Huang |
A bipartition-codec architecture to reduce power in pipelined circuits. |
ICCAD |
1999 |
DBLP DOI BibTeX RDF |
|
13 | Peng Liu 0005, Paul Ammann, Sushil Jajodia |
Incorporating Transaction Semantics to Reduce Reprocessing Overhead in Replicated Mobile Data Applications. |
ICDCS |
1999 |
DBLP DOI BibTeX RDF |
Transaction Processing, Data Replication, Mobile Databases |
13 | Irith Pomeranz, Sudhakar M. Reddy |
Static Test Compaction for Scan-Based Designs to Reduce Test Application Time. |
Asian Test Symposium |
1998 |
DBLP DOI BibTeX RDF |
|
13 | Hideo Fujiwara, Akihiro Yamamoto |
Parity-scan design to reduce the cost of test application. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1993 |
DBLP DOI BibTeX RDF |
|
13 | John P. Fitch |
REDUCE Meets CAMAL. |
DISCO |
1992 |
DBLP DOI BibTeX RDF |
|
11 | Sourjya Bhaumik, Girija J. Narlikar, Subhendu Chattopadhyay, Satish Kanugovi |
Breathe to stay cool: adjusting cell sizes to reduce energy consumption. |
Green Networking |
2010 |
DBLP DOI BibTeX RDF |
cellular network planning, energy eficiency, wireless network design |
11 | Taniya Siddiqua, Sudhanva Gurumurthi |
A multi-level approach to reduce the impact of NBTI on processor functional units. |
ACM Great Lakes Symposium on VLSI |
2010 |
DBLP DOI BibTeX RDF |
reliability, NBTI |
11 | Guihai Yan, Xiaoyao Liang, Yinhe Han 0001, Xiaowei Li 0001 |
Leveraging the core-level complementary effects of PVT variations to reduce timing emergencies in multi-core processors. |
ISCA |
2010 |
DBLP DOI BibTeX RDF |
complimentary effects, delay sensor, pvt variations, timing emergency, thread migration |
11 | David Büttner, Julian M. Kunkel, Thomas Ludwig 0002 |
Using Non-blocking I/O Operations in High Performance Computing to Reduce Execution Times. |
PVM/MPI |
2009 |
DBLP DOI BibTeX RDF |
PVFS2, non-blocking I/O, PIOviz, benchmarking, MPI-IO, MPICH2 |
11 | Jijie Xu, Vincent Duindam, Ron Alterovitz, Jean Pouliot, J. Adam M. Cunha, I-Chow Hsu, Kenneth Y. Goldberg |
Planning fireworks trajectories for steerable medical needles to reduce patient trauma. |
IROS |
2009 |
DBLP DOI BibTeX RDF |
|
11 | Ruth Lamprecht, Peter Kemper |
Reflection symmetry detection to reduce the state space of Markovian models. |
ACM Southeast Regional Conference |
2009 |
DBLP DOI BibTeX RDF |
dependable computing, state space reduction, symmetry detection, spatial model, Markovian model |
11 | Miyuki Shiraishi, Yasuyuki Washio, Chihiro Takayama, Vili Lehdonvirta, Hiroaki Kimura, Tatsuo Nakajima |
Using individual, social and economic persuasion techniques to reduce CO2 emissions in a family setting. |
PERSUASIVE |
2009 |
DBLP DOI BibTeX RDF |
economic/social incentive, sustainability, persuasion |
11 | Ailixier Aikebaier, Tomoya Enokido, Makoto Takizawa 0001 |
Laxity-based process allocation algorithms to reduce power consumptions in peer-to-peer overlay networks. |
MoMM |
2009 |
DBLP DOI BibTeX RDF |
|
11 | Janet L. Kourik, Jiangping Wang |
Reduce pressure on students and it services via software-vendor programs and hosting. |
SIGUCCS |
2009 |
DBLP DOI BibTeX RDF |
software support, software vendor hosting, software licensing, IT services |
11 | Quang Dinh, Deming Chen, Martin D. F. Wong |
A routing approach to reduce glitches in low power FPGAs. |
ISPD |
2009 |
DBLP DOI BibTeX RDF |
glitch reduction, path balancing, fpgas, routing, low power |
11 | Hung-chih Yang, Douglas Stott Parker Jr. |
Traverse: Simplified Indexing on Large Map-Reduce-Merge Clusters. |
DASFAA |
2009 |
DBLP DOI BibTeX RDF |
|
11 | Petr Krajca, Vilém Vychodil |
Distributed Algorithm for Computing Formal Concepts Using Map-Reduce Framework. |
IDA |
2009 |
DBLP DOI BibTeX RDF |
|
11 | Salman Abdul Moiz, Lakshmi Rajamani |
Concurrency Control Strategy to Reduce Frequent Rollbacks in Mobile Environments. |
CSE (2) |
2009 |
DBLP DOI BibTeX RDF |
|
11 | Xiangyu Dong, Naveen Muralimanohar, Norman P. Jouppi, Richard Kaufmann, Yuan Xie 0001 |
Leveraging 3D PCRAM technologies to reduce checkpoint overhead for future exascale systems. |
SC |
2009 |
DBLP DOI BibTeX RDF |
|
11 | Mary Jean Harrold |
Reduce, reuse, recycle, recover: Techniques for improved regression testing. |
ICSM |
2009 |
DBLP DOI BibTeX RDF |
|
11 | Bram Vanderborght, Björn Verrelst, Ronald Van Ham, Michaël Van Damme, Pieter Beyl, Dirk Lefeber |
Development of a compliance controller to reduce energy consumption for bipedal robots. |
Auton. Robots |
2008 |
DBLP DOI BibTeX RDF |
Pneumatic artificial muscle, Torque and compliance control, Energy-efficient walking, Biped |
11 | Jun Yan 0008, Wei Zhang 0002 |
Exploiting virtual registers to reduce pressure on real registers. |
ACM Trans. Archit. Code Optim. |
2008 |
DBLP DOI BibTeX RDF |
short-lived variables, virtual register, register allocation, Register file, data forwarding |
11 | Jesús Delicado, Francisco M. Delicado Martínez, Luis Orozco-Barbosa |
Request Mechanisms to Reduce the Contention Period in 802.16: A Comparison. |
MWCN/PWC |
2008 |
DBLP DOI BibTeX RDF |
|
11 | Yu Guo, Joseph E. Beck, Neil T. Heffernan |
Trying to Reduce Bottom-Out Hinting: Will Telling Student How Many Hints They Have Left Help?. |
Intelligent Tutoring Systems |
2008 |
DBLP DOI BibTeX RDF |
|
11 | Yusuke Gotoh, Tomoki Yoshihisa, Masanori Kanazawa |
A method to reduce waiting time for P2P streaming systems. |
MoMM |
2008 |
DBLP DOI BibTeX RDF |
selecting peers, scheduling, peer-to-peer, streaming, waiting time |
11 | Pierre L'Ecuyer, Jean-Sebastien Parent-Chartier, Maxime Dion |
Simulation of a Lévy process by PCA sampling to reduce the effective dimension. |
WSC |
2008 |
DBLP DOI BibTeX RDF |
|
11 | Talal Bonny, Jörg Henkel |
FBT: filled buffer technique to reduce code size for VLIW processors. |
ICCAD |
2008 |
DBLP DOI BibTeX RDF |
|
11 | Bartlomiej Blaszczyszyn, Bozidar Radunovic |
Using Transmit-Only Sensors to Reduce Deployment Cost of Wireless Sensor Networks. |
INFOCOM |
2008 |
DBLP DOI BibTeX RDF |
|
11 | Houman Homayoun, Mohammad A. Makhzan, Alexander V. Veidenbaum |
ZZ-HVS: Zig-zag horizontal and vertical sleep transistor sharing to reduce leakage power in on-chip SRAM peripheral circuits. |
ICCD |
2008 |
DBLP DOI BibTeX RDF |
|
11 | Kyoungwoo Lee, Aviral Shrivastava, Nikil D. Dutt, Nalini Venkatasubramanian |
Data Partitioning Techniques for Partially Protected Caches to Reduce Soft Error Induced Failures. |
DIPES |
2008 |
DBLP DOI BibTeX RDF |
|
11 | Arash Mehdizadeh, Morteza Saheb Zamani |
Proposing an efficient method to estimate and reduce crosstalk after placement in VLSI circuits. |
AICCSA |
2008 |
DBLP DOI BibTeX RDF |
|
11 | Chinnakrishnan S. Ballapuram, Ahmad Sharif, Hsien-Hsin S. Lee |
Exploiting access semantics and program behavior to reduce snoop power in chip multiprocessors. |
ASPLOS |
2008 |
DBLP DOI BibTeX RDF |
MESI protocol, internal and external snoops, self-modifying code, chip multiprocessors |
11 | Yingjie Zhao, Nong Xiao |
Bargain Cache: Using File-System Metadata to Reduce the Cache Miss Penalty. |
PDCAT |
2008 |
DBLP DOI BibTeX RDF |
|
11 | Han van Loon |
A Management Methodology to Reduce Risk and Improve Quality. |
IT Prof. |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Faisal N. Abu-Khzam |
Pseudo-Kernelization: A Branch-then-Reduce Approach for FPT Problems. |
Theory Comput. Syst. |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Riyad Alshammari, Sumalee Sonamthiang, Mohsen Teimouri, Denis Riordan |
Using Neuro-Fuzzy Approach to Reduce False Positive Alerts. |
CNSR |
2007 |
DBLP DOI BibTeX RDF |
Security, Classification, Intrusion Detection, False Positive, Neuro- Fuzzy |
11 | Suei Jen Chen, Björn Hein, Heinz Wörn |
Using Acceleration Compensation to Reduce Liquid Surface Oscillation During a High Speed Transfer. |
ICRA |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Yang Qu, Juha-Pekka Soininen, Jari Nurmi |
Interactive presentation: Using dynamic voltage scaling to reduce the configuration energy of run time reconfigurable devices. |
DATE |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Chuanhui Ma, Guillermo E. Atkin, Chi Zhou |
Permutated OOK-QPSK in OFDM to reduce the ICI due to carrier frequency offset. |
IWCMC |
2007 |
DBLP DOI BibTeX RDF |
OOK, QPSK, OFDM, permutation, CFO, ICI |
11 | Syed Sajjad Rizvi, Saroj Poudyal, Varsha Edla, Ravi Nepal |
A novel approach for creating trust to reduce malicious behavior in MANET. |
CoNEXT |
2007 |
DBLP DOI BibTeX RDF |
reputation trust, ad hoc networks, dynamic source routing |
11 | Hideki Kobayashi, Koji Kimura, Toshimitsu Kumazawa, Ryohei Orihara, Tomoko Murakami, Y. Motomura, Yoichi Nishida |
Green behavior generation: A digital approach to reduce consumption. |
SMC |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Nipan Maniar, Emily Bennett |
Designing a mobile game to reduce culture shock. |
Advances in Computer Entertainment Technology |
2007 |
DBLP DOI BibTeX RDF |
culture shock, mobile devices, games, m-learning |
11 | Michael D. Powell, T. N. Vijaykumar |
Resource area dilation to reduce power density in throughput servers. |
ISLPED |
2007 |
DBLP DOI BibTeX RDF |
throughput servers, SMT, power density |
11 | Mei Wang, Larry Dunn, Wei Mao, Tao Chen |
Reduce IP Address Fragmentation through Allocation. |
ICCCN |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Chunyan Wang 0004 |
A Method to Reduce the Effect of the Switching Noise in Analog-Mixed Circuits. |
ISCAS |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Jae-Min Lee, Hun-Jung Lim, Jong-Hyouk Lee, Tai-Myoung Chung |
A Scheme to reduce the handoff latency using mSCTP in Fast Mobile IPv6. |
ICSNC |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Chittarsu Raghunandan, K. S. Sainarayanan, M. B. Srinivas |
Bus-encoding technique to reduce delay, power and simultaneous switching noise (SSN) in RLC interconnects. |
ACM Great Lakes Symposium on VLSI |
2007 |
DBLP DOI BibTeX RDF |
bit transitions, bus-encoding scheme, high impedance state, simultaneous switching noise (SSN), spatial and temporal redundancy, low power, delay, encoder, decoder, crosstalk noise, inductive coupling |
11 | Georgios Keramidas, Polychronis Xekalakis, Stefanos Kaxiras |
Applying Decay to Reduce Dynamic Power in Set-Associative Caches. |
HiPEAC |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Ercan Ucan, Nathanael Thompson, Indranil Gupta |
A piggybacking approach to reduce overhead in sensor network gossiping. |
MidSens |
2007 |
DBLP DOI BibTeX RDF |
gossiping, piggybacking |
11 | Eiji Miyagawa, Toshimichi Saito |
Expand-and-Reduce Algorithm of Particle Swarm Optimization. |
ICONIP (1) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Srijan Chakraborty, Yu Dong, David K. Y. Yau, John C. S. Lui |
On the Effectiveness of Movement Prediction to Reduce Energy Consumption in Wireless Communication. |
IEEE Trans. Mob. Comput. |
2006 |
DBLP DOI BibTeX RDF |
movement prediction, Mobile computing, wireless networking, energy management |
11 | Yi Ma, Hongliang Gao, Huiyang Zhou |
Using Indexing Functions to Reduce Conflict Aliasing in Branch Prediction Tables. |
IEEE Trans. Computers |
2006 |
DBLP DOI BibTeX RDF |
Processor architectures |
11 | Qingwei Wu, Michael S. Hsiao |
State Variable Extraction and Partitioning to Reduce Problem Complexity for ATPG and Design Validation. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Mohamad Assaad, Djamal Zeghlache |
Cross-Layer design in HSDPA system to reduce the TCP effect. |
IEEE J. Sel. Areas Commun. |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Seon Wook Kim, Chong-liang Ooi, Rudolf Eigenmann, Babak Falsafi, T. N. Vijaykumar |
Exploiting reference idempotency to reduce speculative storage overflow. |
ACM Trans. Program. Lang. Syst. |
2006 |
DBLP DOI BibTeX RDF |
Idempotent references, compiler-assisted speculative execution, speculation |
11 | Tim Brecht, Eshrat Arjomandi, Chang Li, Hang Pham |
Controlling garbage collection and heap growth to reduce the execution time of Java applications. |
ACM Trans. Program. Lang. Syst. |
2006 |
DBLP DOI BibTeX RDF |
heap growth, Java, implementation, programming languages, Garbage collection, memory management, performance measurement |
Displaying result #101 - #200 of 32426 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ >>] |
|