The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for REDUCE with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1964 (15) 1965-1973 (15) 1974-1975 (15) 1976 (17) 1977-1978 (24) 1979-1980 (20) 1981-1982 (23) 1983 (22) 1984 (21) 1985 (32) 1986 (33) 1987 (44) 1988 (57) 1989 (106) 1990 (149) 1991 (100) 1992 (119) 1993 (145) 1994 (186) 1995 (309) 1996 (319) 1997 (409) 1998 (427) 1999 (670) 2000 (844) 2001 (979) 2002 (1366) 2003 (1696) 2004 (2500) 2005 (3149) 2006 (3807) 2007 (3970) 2008 (3777) 2009 (2239) 2010 (503) 2011 (207) 2012 (225) 2013 (259) 2014 (263) 2015 (300) 2016 (281) 2017 (289) 2018 (304) 2019 (412) 2020 (408) 2021 (419) 2022 (443) 2023 (431) 2024 (78)
Publication types (Num. hits)
article(7096) book(3) data(4) incollection(72) inproceedings(25191) phdthesis(60)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 28599 occurrences of 9721 keywords

Results
Found 32426 publication records. Showing 32426 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
13Niklas Kirschnick, Frank Steuer, Pablo Vidales, Sahin Albayrak Adaptive window size to reduce the influence of heterogeneous mobility on TCP performance. Search on Bibsonomy ISCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Vassilis-Javed Khan, Panos Markopoulos 0001, Berry Eggen, Wijnand A. IJsselsteijn, Boris E. R. de Ruyter Reconexp: a way to reduce the data loss of the experiencing sampling method. Search on Bibsonomy Mobile HCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF day reconstruction method, awareness systems, family communication, experience sampling method
13Hairong Chang, Hua Tang A simple technique to reduce clock jitter effects in continuous-time delta-sigma modulators. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Walid Atabany, Patrick Degenaar Parallelism to reduce power consumption on FPGA spatiotemporal image processing. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Pravesh Gaonjur, N. Z. Tarapore, S. G. Pukale, M. L. Dhore Using Neuro-Fuzzy Techniques to reduce false alerts in IDS. Search on Bibsonomy ICON The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Yolanta Beres, Jonathan Griffin, Simon Shiu, Max Heitman, David Markle, Peter Ventura Analysing the Performance of Security Solutions to Reduce Vulnerability Exposure Window. Search on Bibsonomy ACSAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Yinhe Han 0001, Yu Hu 0001, Xiaowei Li 0001, Huawei Li 0001, Anshuman Chandra Embedded Test Decompressor to Reduce the Required Channels and Vector Memory of Tester for Complex Processor Circuit. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Kazuyoshi Murata, Megumi Nakamura, Yu Shibuya, Itaru Kuramoto, Yoshihiro Tsujino Visual Feedback to Reduce the Negative Effects of Message Transfer Delay on Voice Chatting. Search on Bibsonomy HCI (9) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Message Transfer Delay, Unintentional Interruption, Status Monitor, Voice Chatting
13Seongmoon Wang, Wenlong Wei A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF peak current reduction, average power dissipation, clock tree construction, special scan cells, scan chain reordering, ATPG, scan designs
13Shannon Koh, Oliver Diessel Module Graph Merging and Placement to Reduce Reconfiguration Overheads in Paged FPGA Devices. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Youping Fan, Min Xiong, Lu Liu, Jiguan Men, Cheng Tan, Yunping Chen Reduce Feature Based NN for Transient Stability Analysis of Large-Scale Power Systems. Search on Bibsonomy ISNN (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Shingo Yoshizawa, Yoshikazu Miyanaga Use of a Variable Wordlength Technique in an OFDM Receiver to Reduce Energy Dissipation. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Kedarnath J. Balakrishnan, Lei Fang RTL Test Point Insertion to Reduce Delay Test Volume. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Xiaolan Joy Zhang, Sun-il Kim, Steven S. Lumetta Reduced flow routing: Leveraging residual capacity to reduce blocking in GMPLS networks. Search on Bibsonomy BROADNETS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Rajamani Sethuram, Omar I. Khan, Hari Vijay Venkatanarayanan, Michael L. Bushnell A Neural Net Branch Predictor to Reduce Power. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Vladimir Nikulin, Geoffrey J. McLachlan Merging Algorithm to Reduce Dimensionality in Application to Web-Mining. Search on Bibsonomy Australian Conference on Artificial Intelligence The full citation details ... 2007 DBLP  DOI  BibTeX  RDF distance-based clustering, log-likelihood, web-traffic data, data compression
13Myun-Seok Cheon, Shabbir Ahmed 0001, Faiz A. Al-Khayyal A branch-reduce-cut algorithm for the global optimization of probabilistically constrained linear programs. Search on Bibsonomy Math. Program. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Probabilistically Constrained Linear Programs, Chance Constrained Programs, Global Optimization, Branch-and-bound
13Kyu-Seek Sohn, Seung Yeob Nam, Dan Keun Sung A Distributed LSP Scheme to Reduce Spare Bandwidth Demand in MPLS Networks. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Yen-Jen Chang Lazy BTB: reduce BTB energy consumption using dynamic profiling. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Makoto Shimamura, Kenji Kono Using Attack Information to Reduce False Positives in Network IDS. Search on Bibsonomy ISCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Li Ou, Jizhong Han A Fast Read/Write Process to Reduce RDMA Communication Latency. Search on Bibsonomy IWNAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Yuichi Sei, Kazutaka Matsuzaki, Shinichi Honiden An Algorithm to Reduce the Communication Traffic for Multi-Word Searches in a Distributed Hash Table. Search on Bibsonomy IFIP TCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Kyong Jung, Chanik Park A Technique to Reduce Preemption Overhead in Real-Time Multiprocessor Task Scheduling. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Hui-shin Chae, Kye-san Lee, Jae Sang Cha An Adaptive Repeater System for OFDM with Frequency Hopping Control to Reduce the Interference. Search on Bibsonomy KES (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Repeater System, ISI (Inter Symbol Interference), Frequency Hopping Controller
13Maurizio Pighin, Anna Marzona Optimizing Test to Reduce Maintenance. Search on Bibsonomy ICSM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Patricia Balbastre, Ismael Ripoll, Josep Vidal Canet, Alfons Crespo A Task Model to Reduce Control Delays. Search on Bibsonomy Real Time Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF timing jitter, multi-rate controllers, schedulability analysis, control design
13Arnaud Legrand, Loris Marchal, Yves Robert Optimizing the Steady-State throughput of Scatter and Reduce Operations on Heterogeneous Platforms. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Saurabh Chheda, Osman S. Unsal, Israel Koren, C. Mani Krishna 0001, Csaba Andras Moritz Combining compiler and runtime IPC predictions to reduce energy in next generation architectures. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF fetch throttling, low power design, instruction level parallelism, compiler architecture interaction, adaptive voltage scaling
13Ben H. H. Juurlink, Pepijn J. de Langen Dynamic techniques to reduce memory traffic in embedded systems. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF memory traffic, caches, power consumption, embedded processors
13Hiroyuki Yotsuyanagi, Toshimasa Kuchii, Shigeki Nishikawa, Masaki Hashizume, Kozo Kinoshita On Configuring Scan Trees to Reduce Scan Shifts based on a Circuit Structure. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Kai-Chao Yang, Chun-Ming Huang, Jia-Shung Wang Restructuring GOP Algorithm to Reduce Video Server Load on VCR Functionality. Search on Bibsonomy ICPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Armin Wolf Reduce-To-The-Opt - A Specialized Search Algorithm for Contiguous Task Scheduling. Search on Bibsonomy CSCLP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Massimo Bernaschi, Giulio Iannello, Mario Lauria Efficient Implementation of Reduce-Scatter in MPI. Search on Bibsonomy PDP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF LogGP model, MPI, Collective Communication
13Ramaswamy Palaniappan, S. Anandan, Paramesran Raveendran Two level PCA to reduce noise and EEG from evoked potential signals. Search on Bibsonomy ICARCV The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Yoshinobu Higami, Shin-ya Kobayashi, Yuzo Takamatsu A Method to Reduce Power Dissipation during Test for Sequential Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Andrea G. M. Cilio, Henk Corporaal Global Variable Promotion: Using Registers to Reduce Cache Power Dissipation. Search on Bibsonomy CC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Nikolaos V. Sahinidis Global Optimization and Constraint Satisfaction: The Branch-and-Reduce Approach. Search on Bibsonomy COCOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Tsutomu Gamou A Working-Set Approach to Reduce the Download-Execution Time of Mobile Programs. Search on Bibsonomy ICDCS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Juergen Rilling, Ahmed Seffah, Christophe Bouthlier The CONCEPT Project - Applying Source Code Analysis to Reduce Information Complexity of Static and Dynamic Visualization Techniques. Search on Bibsonomy VISSOFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Chandra Krintz, Brad Calder Using Annotation to Reduce Dynamic Optimization Time. Search on Bibsonomy PLDI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Java
13Stefanos Kaxiras, Zhigang Hu, Margaret Martonosi Cache decay: exploiting generational behavior to reduce cache leakage power. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Guijun Wang, Georgina Cone A Method to Reduce Risks in Building Distributed Enterprise Systems. Search on Bibsonomy EDOC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Irith Pomeranz, Sudhakar M. Reddy Static Test Compaction for Scan-Based Designs to Reduce Test Application Time. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF scan circuits, test application time, static test compaction
13Stefanos Kaxiras, Zhigang Hu, Girija J. Narlikar, Rae McLellan Cache-Line Decay: A Mechanism to Reduce Cache Leakage Power. Search on Bibsonomy PACS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Shanq-Jang Ruan, Rung-Ji Shang, Feipei Lai, Shyh-Jong Chen, Xian-Jun Huang A bipartition-codec architecture to reduce power in pipelined circuits. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Peng Liu 0005, Paul Ammann, Sushil Jajodia Incorporating Transaction Semantics to Reduce Reprocessing Overhead in Replicated Mobile Data Applications. Search on Bibsonomy ICDCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Transaction Processing, Data Replication, Mobile Databases
13Irith Pomeranz, Sudhakar M. Reddy Static Test Compaction for Scan-Based Designs to Reduce Test Application Time. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
13Hideo Fujiwara, Akihiro Yamamoto Parity-scan design to reduce the cost of test application. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
13John P. Fitch REDUCE Meets CAMAL. Search on Bibsonomy DISCO The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
11Sourjya Bhaumik, Girija J. Narlikar, Subhendu Chattopadhyay, Satish Kanugovi Breathe to stay cool: adjusting cell sizes to reduce energy consumption. Search on Bibsonomy Green Networking The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cellular network planning, energy eficiency, wireless network design
11Taniya Siddiqua, Sudhanva Gurumurthi A multi-level approach to reduce the impact of NBTI on processor functional units. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF reliability, NBTI
11Guihai Yan, Xiaoyao Liang, Yinhe Han 0001, Xiaowei Li 0001 Leveraging the core-level complementary effects of PVT variations to reduce timing emergencies in multi-core processors. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF complimentary effects, delay sensor, pvt variations, timing emergency, thread migration
11David Büttner, Julian M. Kunkel, Thomas Ludwig 0002 Using Non-blocking I/O Operations in High Performance Computing to Reduce Execution Times. Search on Bibsonomy PVM/MPI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF PVFS2, non-blocking I/O, PIOviz, benchmarking, MPI-IO, MPICH2
11Jijie Xu, Vincent Duindam, Ron Alterovitz, Jean Pouliot, J. Adam M. Cunha, I-Chow Hsu, Kenneth Y. Goldberg Planning fireworks trajectories for steerable medical needles to reduce patient trauma. Search on Bibsonomy IROS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Ruth Lamprecht, Peter Kemper Reflection symmetry detection to reduce the state space of Markovian models. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dependable computing, state space reduction, symmetry detection, spatial model, Markovian model
11Miyuki Shiraishi, Yasuyuki Washio, Chihiro Takayama, Vili Lehdonvirta, Hiroaki Kimura, Tatsuo Nakajima Using individual, social and economic persuasion techniques to reduce CO2 emissions in a family setting. Search on Bibsonomy PERSUASIVE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF economic/social incentive, sustainability, persuasion
11Ailixier Aikebaier, Tomoya Enokido, Makoto Takizawa 0001 Laxity-based process allocation algorithms to reduce power consumptions in peer-to-peer overlay networks. Search on Bibsonomy MoMM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Janet L. Kourik, Jiangping Wang Reduce pressure on students and it services via software-vendor programs and hosting. Search on Bibsonomy SIGUCCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF software support, software vendor hosting, software licensing, IT services
11Quang Dinh, Deming Chen, Martin D. F. Wong A routing approach to reduce glitches in low power FPGAs. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF glitch reduction, path balancing, fpgas, routing, low power
11Hung-chih Yang, Douglas Stott Parker Jr. Traverse: Simplified Indexing on Large Map-Reduce-Merge Clusters. Search on Bibsonomy DASFAA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Petr Krajca, Vilém Vychodil Distributed Algorithm for Computing Formal Concepts Using Map-Reduce Framework. Search on Bibsonomy IDA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Salman Abdul Moiz, Lakshmi Rajamani Concurrency Control Strategy to Reduce Frequent Rollbacks in Mobile Environments. Search on Bibsonomy CSE (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Xiangyu Dong, Naveen Muralimanohar, Norman P. Jouppi, Richard Kaufmann, Yuan Xie 0001 Leveraging 3D PCRAM technologies to reduce checkpoint overhead for future exascale systems. Search on Bibsonomy SC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Mary Jean Harrold Reduce, reuse, recycle, recover: Techniques for improved regression testing. Search on Bibsonomy ICSM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Bram Vanderborght, Björn Verrelst, Ronald Van Ham, Michaël Van Damme, Pieter Beyl, Dirk Lefeber Development of a compliance controller to reduce energy consumption for bipedal robots. Search on Bibsonomy Auton. Robots The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Pneumatic artificial muscle, Torque and compliance control, Energy-efficient walking, Biped
11Jun Yan 0008, Wei Zhang 0002 Exploiting virtual registers to reduce pressure on real registers. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF short-lived variables, virtual register, register allocation, Register file, data forwarding
11Jesús Delicado, Francisco M. Delicado Martínez, Luis Orozco-Barbosa Request Mechanisms to Reduce the Contention Period in 802.16: A Comparison. Search on Bibsonomy MWCN/PWC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Yu Guo, Joseph E. Beck, Neil T. Heffernan Trying to Reduce Bottom-Out Hinting: Will Telling Student How Many Hints They Have Left Help?. Search on Bibsonomy Intelligent Tutoring Systems The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Yusuke Gotoh, Tomoki Yoshihisa, Masanori Kanazawa A method to reduce waiting time for P2P streaming systems. Search on Bibsonomy MoMM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF selecting peers, scheduling, peer-to-peer, streaming, waiting time
11Pierre L'Ecuyer, Jean-Sebastien Parent-Chartier, Maxime Dion Simulation of a Lévy process by PCA sampling to reduce the effective dimension. Search on Bibsonomy WSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Talal Bonny, Jörg Henkel FBT: filled buffer technique to reduce code size for VLIW processors. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Bartlomiej Blaszczyszyn, Bozidar Radunovic Using Transmit-Only Sensors to Reduce Deployment Cost of Wireless Sensor Networks. Search on Bibsonomy INFOCOM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Houman Homayoun, Mohammad A. Makhzan, Alexander V. Veidenbaum ZZ-HVS: Zig-zag horizontal and vertical sleep transistor sharing to reduce leakage power in on-chip SRAM peripheral circuits. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Kyoungwoo Lee, Aviral Shrivastava, Nikil D. Dutt, Nalini Venkatasubramanian Data Partitioning Techniques for Partially Protected Caches to Reduce Soft Error Induced Failures. Search on Bibsonomy DIPES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Arash Mehdizadeh, Morteza Saheb Zamani Proposing an efficient method to estimate and reduce crosstalk after placement in VLSI circuits. Search on Bibsonomy AICCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Chinnakrishnan S. Ballapuram, Ahmad Sharif, Hsien-Hsin S. Lee Exploiting access semantics and program behavior to reduce snoop power in chip multiprocessors. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF MESI protocol, internal and external snoops, self-modifying code, chip multiprocessors
11Yingjie Zhao, Nong Xiao Bargain Cache: Using File-System Metadata to Reduce the Cache Miss Penalty. Search on Bibsonomy PDCAT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Han van Loon A Management Methodology to Reduce Risk and Improve Quality. Search on Bibsonomy IT Prof. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Faisal N. Abu-Khzam Pseudo-Kernelization: A Branch-then-Reduce Approach for FPT Problems. Search on Bibsonomy Theory Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Riyad Alshammari, Sumalee Sonamthiang, Mohsen Teimouri, Denis Riordan Using Neuro-Fuzzy Approach to Reduce False Positive Alerts. Search on Bibsonomy CNSR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Security, Classification, Intrusion Detection, False Positive, Neuro- Fuzzy
11Suei Jen Chen, Björn Hein, Heinz Wörn Using Acceleration Compensation to Reduce Liquid Surface Oscillation During a High Speed Transfer. Search on Bibsonomy ICRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Yang Qu, Juha-Pekka Soininen, Jari Nurmi Interactive presentation: Using dynamic voltage scaling to reduce the configuration energy of run time reconfigurable devices. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Chuanhui Ma, Guillermo E. Atkin, Chi Zhou Permutated OOK-QPSK in OFDM to reduce the ICI due to carrier frequency offset. Search on Bibsonomy IWCMC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF OOK, QPSK, OFDM, permutation, CFO, ICI
11Syed Sajjad Rizvi, Saroj Poudyal, Varsha Edla, Ravi Nepal A novel approach for creating trust to reduce malicious behavior in MANET. Search on Bibsonomy CoNEXT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF reputation trust, ad hoc networks, dynamic source routing
11Hideki Kobayashi, Koji Kimura, Toshimitsu Kumazawa, Ryohei Orihara, Tomoko Murakami, Y. Motomura, Yoichi Nishida Green behavior generation: A digital approach to reduce consumption. Search on Bibsonomy SMC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Nipan Maniar, Emily Bennett Designing a mobile game to reduce culture shock. Search on Bibsonomy Advances in Computer Entertainment Technology The full citation details ... 2007 DBLP  DOI  BibTeX  RDF culture shock, mobile devices, games, m-learning
11Michael D. Powell, T. N. Vijaykumar Resource area dilation to reduce power density in throughput servers. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF throughput servers, SMT, power density
11Mei Wang, Larry Dunn, Wei Mao, Tao Chen Reduce IP Address Fragmentation through Allocation. Search on Bibsonomy ICCCN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Chunyan Wang 0004 A Method to Reduce the Effect of the Switching Noise in Analog-Mixed Circuits. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Jae-Min Lee, Hun-Jung Lim, Jong-Hyouk Lee, Tai-Myoung Chung A Scheme to reduce the handoff latency using mSCTP in Fast Mobile IPv6. Search on Bibsonomy ICSNC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Chittarsu Raghunandan, K. S. Sainarayanan, M. B. Srinivas Bus-encoding technique to reduce delay, power and simultaneous switching noise (SSN) in RLC interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF bit transitions, bus-encoding scheme, high impedance state, simultaneous switching noise (SSN), spatial and temporal redundancy, low power, delay, encoder, decoder, crosstalk noise, inductive coupling
11Georgios Keramidas, Polychronis Xekalakis, Stefanos Kaxiras Applying Decay to Reduce Dynamic Power in Set-Associative Caches. Search on Bibsonomy HiPEAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Ercan Ucan, Nathanael Thompson, Indranil Gupta A piggybacking approach to reduce overhead in sensor network gossiping. Search on Bibsonomy MidSens The full citation details ... 2007 DBLP  DOI  BibTeX  RDF gossiping, piggybacking
11Eiji Miyagawa, Toshimichi Saito Expand-and-Reduce Algorithm of Particle Swarm Optimization. Search on Bibsonomy ICONIP (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Srijan Chakraborty, Yu Dong, David K. Y. Yau, John C. S. Lui On the Effectiveness of Movement Prediction to Reduce Energy Consumption in Wireless Communication. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF movement prediction, Mobile computing, wireless networking, energy management
11Yi Ma, Hongliang Gao, Huiyang Zhou Using Indexing Functions to Reduce Conflict Aliasing in Branch Prediction Tables. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Processor architectures
11Qingwei Wu, Michael S. Hsiao State Variable Extraction and Partitioning to Reduce Problem Complexity for ATPG and Design Validation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Mohamad Assaad, Djamal Zeghlache Cross-Layer design in HSDPA system to reduce the TCP effect. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Seon Wook Kim, Chong-liang Ooi, Rudolf Eigenmann, Babak Falsafi, T. N. Vijaykumar Exploiting reference idempotency to reduce speculative storage overflow. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Idempotent references, compiler-assisted speculative execution, speculation
11Tim Brecht, Eshrat Arjomandi, Chang Li, Hang Pham Controlling garbage collection and heap growth to reduce the execution time of Java applications. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF heap growth, Java, implementation, programming languages, Garbage collection, memory management, performance measurement
Displaying result #101 - #200 of 32426 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license