The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "SLIP"( http://dblp.L3S.de/Venues/SLIP )

URL (DBLP): http://dblp.uni-trier.de/db/conf/slip

Publication years (Num. hits)
2000 (16) 2001 (19) 2002 (16) 2003 (19) 2004 (17) 2005 (15) 2006 (17) 2007 (16) 2008-2009 (32) 2010 (17) 2011-2012 (28) 2013-2014 (21) 2015-2016 (18) 2017-2019 (18) 2020-2021 (28) 2022-2023 (15)
Publication types (Num. hits)
inproceedings(289) proceedings(23)
Venues (Conferences, Journals, ...)
SLIP(312)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 350 occurrences of 190 keywords

Results
Found 312 publication records. Showing 312 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Wenxun Huang, Yujuan Quan, Deming Chen Improving broadcast efficiency in wireless sensor network time synchronization protocols. Search on Bibsonomy SLIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ran Manevich, Israel Cidon, Avinoam Kolodny Handling global traffic in future CMP NoCs. Search on Bibsonomy SLIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mario Paolone Towards the power networks of the future: needs, challenges and tools. Search on Bibsonomy SLIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ümit Y. Ogras, Yunus Emre, Jianping Xu, Timothy Kam, Michael Kishinevsky Energy-guided exploration of on-chip network design for exa-scale computing. Search on Bibsonomy SLIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1William Swartz, Yang-Yang Li, Amin Farshidi, Laleh Behjat Analysis of post-placement length estimation. Search on Bibsonomy SLIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Hongbo Zhang 0001, Yunfei Deng, Jongwook Kye, Martin D. F. Wong Impact of lithography retargeting process on low level interconnect in 20nm technology. Search on Bibsonomy SLIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Xiangyu Chen, Jiale Liang, H.-S. Philip Wong Interconnect scaling into the sub-10nm regime. Search on Bibsonomy SLIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ganapati Srinivasa Heterogeneity and interconnect. Search on Bibsonomy SLIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Tuhina Samanta, Raka Sardar, Hafizur Rahaman 0001, Parthasarathi Dasgupta, Bhargab B. Bhattacharya A heuristic method for obstacle avoiding group Steiner tree construction. Search on Bibsonomy SLIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Guang Sun, Shih-Hung Weng, Chung-Kuan Cheng, Bill Lin 0001, Lieguang Zeng An on-chip global broadcast network design with equalized transmission lines in the 1024-core era. Search on Bibsonomy SLIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yaniv Ben-Itzhak, Israel Cidon, Avinoam Kolodny Optimizing heterogeneous NoC design. Search on Bibsonomy SLIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ümit Y. Ogras, Michael Kishinevsky Design and optimization of communication fabrics: an industrial perspective. Search on Bibsonomy SLIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1 International Workshop on System Level Interconnect Prediction, SLIP '12, San Francisco, CA, USA, June 3, 2012 Search on Bibsonomy SLIP The full citation details ... 2012 DBLP  BibTeX  RDF
1Ankit More, Baris Taskin A locality-aware bi-level mesh-mesh 2d-noc architecture for future thousand core CMPs. Search on Bibsonomy SLIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ping-Hung Yuh, Cliff Chiung-Yu Lin, Tsung-Wei Huang, Tsung-Yi Ho, Chia-Lin Yang, Yao-Wen Chang A SAT-based routing algorithm for cross-referencing biochips. Search on Bibsonomy SLIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Kwangok Jeong, Andrew B. Kahng Toward PDN resource estimation: A law of general power density. Search on Bibsonomy SLIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Hung Viet Nguyen, Myunghwan Ryu, Youngmin Kim Performance and power analysis of through silicon via based 3D IC integration. Search on Bibsonomy SLIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Janet Meiling Wang, Deming Chen (eds.) 2011 International Workshop on System Level Interconnect Prediction, SLIP 2011, San Diego, CA, USA, June 5, 2011 Search on Bibsonomy SLIP The full citation details ... 2011 DBLP  BibTeX  RDF
1Selçuk Köse, Eby G. Friedman Distributed power network co-design with on-chip power supplies and decoupling capacitors. Search on Bibsonomy SLIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Chen Dong 0003, Chen Chen 0018, Subhasish Mitra, Deming Chen Architecture and performance evaluation of 3D CMOS-NEM FPGA. Search on Bibsonomy SLIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Daehyun Kim 0004, Suyoun Kim, Sung Kyu Lim Impact of nano-scale through-silicon vias on the quality of today and future 3D IC designs. Search on Bibsonomy SLIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Sung Kyu Han, Kwangok Jeong, Andrew B. Kahng, Jingwei Lu Stability and scalability in global routing. Search on Bibsonomy SLIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tilo Meister, Jens Lienig, Gisbert Thomke Interface optimization for improved routability in chip-package-board co-design. Search on Bibsonomy SLIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yang-Yang Li, Logan M. Rakai, Laleh Behjat, Bill Swartz Wirelength and congestion estimation for routability-driven placement. Search on Bibsonomy SLIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ankit More, Baris Taskin Simulation based study of on-chip antennas for a reconfigurable hybrid 2D wireless network-on-chip. Search on Bibsonomy SLIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Chen-Ling Chou, Radu Marculescu, Ümit Y. Ogras, Satrajit Chatterjee, Michael Kishinevsky, Dmitrii Loukianov System interconnect design exploration for embedded MPSoCs. Search on Bibsonomy SLIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1George B. P. Bezerra, Stephanie Forrest, Payman Zarkesh-Ha Reducing energy and increasing performance with traffic optimization in many-core systems. Search on Bibsonomy SLIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Andrew B. Kahng, Vaishnav Srinivas Mobile system considerations for SDRAM interface trends. Search on Bibsonomy SLIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Walter James Condley, Xuchu Hu, Matthew R. Guthaus Analysis of high-performance clock networks with RLC and transmission line effects. Search on Bibsonomy SLIP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Chung-Kuan Cheng, Andrew B. Kahng, Kambiz Samadi, Amirali Shayan Arani Worst-case performance prediction under supply voltage and temperature variation. Search on Bibsonomy SLIP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1George B. P. Bezerra, Stephanie Forrest, Melanie Forrest, Al Davis, Payman Zarkesh-Ha Modeling NoC traffic locality and energy consumption with rent's communication probability distribution. Search on Bibsonomy SLIP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Peter Ramyalal Suaris Application of 3-D ICs to FPGAs. Search on Bibsonomy SLIP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Di-An Li, Malgorzata Marek-Sadowska, Bill Lee On-chip em-sensitive interconnect structures. Search on Bibsonomy SLIP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Shekhar Y. Borkar Future of interconnect fabric: a contrarian view. Search on Bibsonomy SLIP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Rasit Onur Topaloglu 3-2-1 contact: an experimental approach to the analysisof contacts in 45 nm and below. Search on Bibsonomy SLIP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Martin D. F. Wong Advances in PCB routing. Search on Bibsonomy SLIP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Yulei Zhang 0002, James F. Buckwalter, Chung-Kuan Cheng Performance prediction of throughput-centric pipelined global interconnects with voltage scaling. Search on Bibsonomy SLIP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Hu Xu 0002, Vasilis F. Pavlidis, Giovanni De Micheli Process-induced skew variation for scaled 2-D and 3-D ICs. Search on Bibsonomy SLIP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Patrick Groeneveld, Louis Scheffer, Dirk Stroobandt SLIP: 10 years ago and 10 years from now. Search on Bibsonomy SLIP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Koh Yamanaga, Kazuya Masu, Takashi Sato Application of generalized scattering matrix for prediction of power supply noise. Search on Bibsonomy SLIP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Daehyun Kim 0004, Sung Kyu Lim Through-silicon-via-aware delay and power prediction model for buffered interconnects in 3D ICs. Search on Bibsonomy SLIP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Payman Zarkesh-Ha, George B. P. Bezerra, Stephanie Forrest, Melanie E. Moses Hybrid network on chip (HNoC): local buses with a global mesh architecture. Search on Bibsonomy SLIP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ankit More, Baris Taskin Simulation based study of wireless RF interconnects for practical CMOs implementation. Search on Bibsonomy SLIP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Jinhai Qiu, Sherief Reda, Soha Hassoun Fast, accurate a priori routing delay estimation. Search on Bibsonomy SLIP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Sherief Reda, Janet Meiling Wang (eds.) International Workshop on System Level Interconnect Prediction Workshop, SLIP 2010, Anaheim, CA, USA, June 13, 2010 Search on Bibsonomy SLIP The full citation details ... 2010 DBLP  BibTeX  RDF
1Bahareh Fathi, Laleh Behjat, Logan M. Rakai A pre-placement net length estimation technique for mixed-size circuits. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF wire length estimation, placement, physical design, hypergraph clustering
1Wanping Zhang, Wenjian Yu, Xiang Hu, Amirali Shayan Arani, A. Ege Engin, Chung-Kuan Cheng Predicting the worst-case voltage violation in a 3D power network. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF worst case violation prediction, integer linear programming, leakage, clock gating, power networks
1Saroj K. Nayak Carbon nanotube, graphene and atomic wires as next generation interconnects: current status and future promise. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF quantum simulation, performance, design, reliability
1Jason Cong, Mau-Chung Frank Chang, Glenn Reinman, Sai-Wang Tam Multiband RF-interconnect for reconfigurable network-on-chip communications. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fdma, morfic, rf-interconnect, network-on-chip, reconfigurable, chip multiprocessor
1Yulei Zhang 0002, Xiang Hu, Alina Deutsch, A. Ege Engin, James F. Buckwalter, Chung-Kuan Cheng Prediction of high-performance on-chip global interconnection. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF on-chip global interconnection, performance prediction, transmission line
1Kaustav Banerjee Graphene based nanomaterials for VLSI interconnect and energy-storage applications. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF carbon nanomaterials, graphene nano-ribbons, interconnects, carbon nanotubes, passives
1Duo Ding, David Z. Pan OIL: a nano-photonics optical interconnect library for a new photonic networks-on-chip architecture. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF photonic networks-on-chip, low power, computer aided design, high performance
1Kwangok Jeong, Andrew B. Kahng, Rasit Onur Topaloglu Is overlay error more important than interconnect variations in double patterning? Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF double patterning lithography, interconnect variations, overlay
1Krishna Saraswat Performance comparison of cu/low-k, carbon nanotube, and optics for on-chip and off-chip interconnects. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF copper, energy per bit, power, latency, bandwidth, optical interconnect, carbon nanotube
1Chung-Kuan Cheng, Sherief Reda (eds.) The 11th International Workshop on System-Level Interconnect Prediction (SLIP 2009), San Francisco, CA, USA, July 26-27, 2009, Proceedings Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  BibTeX  RDF
1Xiang Hu, Wenbo Zhao 0001, Peng Du, Yulei Zhang 0002, Amirali Shayan Arani, Christopher Pan, A. Ege Engin, Chung-Kuan Cheng On the bound of time-domain power supply noise based on frequency-domain target impedance. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF target impedance, voltage noise, power distribution network
1Joseph B. Cessna, Thomas R. Bewley Honeycomb-structured computational interconnects and their scalable extension to spherical domains. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF switchless interconnect, graph theory
1Ruzica Jevtic, Carlos Carreras, Vukasin Pejovic Floorplan-based FPGA interconnect power estimation in DSP circuits. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, low power, interconnects, power estimation
1Robert Fischbach, Jens Lienig, Tilo Meister From 3D circuit technologies and data structures to interconnect prediction. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 3d floorplanning, three-dimensional circuits, data structures, 3d integration, interconnect prediction
1Bill R. Bottoms Interconnect solutions for TeraScale computing. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF interconnect
1Peng Sun, Rong Luo Closed-form solution for timing analysis of process variations on SWCNT interconnect. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF interconnect, process variation, timing analysis, carbon nanotube, closed-form
1Xu He, Sheqin Dong, Xianlong Hong, Satoshi Goto Integrated interlayer via planning and pin assignment for 3D ICs. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Dae Hyun Kim 0004, Saibal Mukhopadhyay, Sung Kyu Lim Through-silicon-via aware interconnect prediction and optimization for 3D stacked ICs. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF wirelength distribution, rent's rule, 3d ic, tsv, interconnect prediction, through silicon via
1Sherief Reda Using circuit structural analysis techniques for networks in systems biology. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF networks, systems biology, rent's rule
1Wim Heirman, Joni Dambre, Dirk Stroobandt, Jan M. Van Campenhout Rent's rule and parallel programs: characterizing network traffic behavior. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF network traffic behavior, locality, network-on-chip, characterization, Rent's rule
1Gerard J. M. Smit, André B. J. Kokkeler, Pascal T. Wolkotte, Marcel D. van de Burgwal Multi-core architectures and streaming applications. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF NoC design, multi-core SoC design, system design, streaming applications
1Simon W. Moore, Daniel Greenfield The next resource war: computation vs. communication. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF fractal structure, temporal interconnect, tera-scale, networks-on-chip, CMP, communication complexity, Rent's rule
1Terrence S. T. Mak, N. Pete Sedcole, Peter Y. K. Cheung, Wayne Luk Interconnection lengths and delays estimation for communication links in FPGAs. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF communciation link, interconnection length prediction, FPGA
1Terrence S. T. Mak, Crescenzo D'Alessandro, N. Pete Sedcole, Peter Y. K. Cheung, Alexandre Yakovlev, Wayne Luk Global interconnections in FPGAs: modeling and performance analysis. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, throughput, interconnection, wave-pipelined
1Ion I. Mandoiu, Andrew A. Kennings (eds.) The Tenth International Workshop on System-Level Interconnect Prediction (SLIP 2008), Newcastle, UK, April 5-8, 2008, Proceedings Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  BibTeX  RDF
1Duraid Madina, Makoto Taiji Circuit and physical design of the MDGRAPE-4 on-chip network links. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF capacitive signalling, on-chip network links, periodic routing, quadrature
1Rostislav (Reuven) Dobkin, Arkadiy Morgenshtein, Avinoam Kolodny, Ran Ginosar Parallel vs. serial on-chip communication. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dual-rail, long-range interconnect serial link, parallel link, asynchronous circuits
1Sumanta Chaudhuri, Sylvain Guilley, Philippe Hoogvorst, Jean-Luc Danger Efficient tiling patterns for reconfigurable gate arrays. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF octagonal, FPGA, tiling, hexagonal
1Jin Hu, Jarrod A. Roy, Igor L. Markov Sidewinder: a scalable ILP-based router. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF integer linear programming, global routing
1Arkadiy Morgenshtein, Eby G. Friedman, Ran Ginosar, Avinoam Kolodny Timing optimization in logic with interconnect. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interconnect, logic circuits, timing optimization, repeaters, logical effort
1Tuhina Samanta, Prasun Ghosal, Hafizur Rahaman 0001, Parthasarathi Dasgupta Revisiting fidelity: a case of elmore-based Y-routing trees. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF routing, Steiner trees, fidelity, rank correlation
1Basel Halak, Santosh Shedabale, Hiran Ramakrishnan, Alexandre Yakovlev, Gordon Russell 0002 The impact of variability on the reliability of long on-chip interconnect in the presence of crosstalk. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cross-talk, interconnect, variability, Bit Error Rate(BER)
1Mary Yvonne Lanzerotti, Giovanni Fiorenza, Rick A. Rand Impact of interconnect length changes on effective materials properties (dielectric constant). Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF performance, routing, interconnect, cycle time, interconnect model, rent, path delay
1Wim Heirman, Joni Dambre, Jan Van Campenhout Synthetic traffic generation as a tool for dynamic interconnect evaluation. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dynamic interconnect requirements, reconfigurable interconnect, synthetic traffic generation
1David Yeager, Darius Chiu, Guy G. Lemieux Congestion estimation and localization in FPGAS: a visual tool for interconnect prediction. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF congestion estimation, congestion localization, interconnect prediction, FPGA routing, FPGA interconnect
1I-Jye Lin, Tsui-Yee Ling, Yao-Wen Chang Statistical circuit optimization considering device andinterconnect process variations. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF gate and wire sizing, statistical optimization
1Yaoguang Wei, Sheqin Dong, Xianlong Hong, Yuchun Ma An accurate and efficient probabilistic congestion estimation model in x architecture. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF congestion estimation, dynamic resource assignment, the X architecture, routability
1Andrew A. Kennings, Ion I. Mandoiu (eds.) The Ninth International Workshop on System-Level Interconnect Prediction (SLIP 2007), Austin, Texas, USA, March 17-18, 2007, Proceedings Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  BibTeX  RDF
1Charles J. Alpert, Shrirang K. Karandikar, Zhuo Li 0001, Gi-Joon Nam, Stephen T. Quay, Haoxing Ren, Cliff C. N. Sze, Paul G. Villarrubia, Mehmet Can Yildiz The nuts and bolts of physical synthesis. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Alexander V. Mitev, Michael M. Marefat, Dongsheng Ma, Janet Meiling Wang Principle hessian direction based parameter reduction for interconnect networks with process variation. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF principle Hessian directions, process variation, timing analysis
1Avinoam Kolodny Networks on chips: keeping up with Rent's rule and Moore's law. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF routing, timing, interconnect, power, on-chip network, wires
1Yu Hu 0002, King Ho Tam, Tong Jing, Lei He 0001 Fast dual-vdd buffering based on interconnect prediction and sampling. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF routing, low power, interconnect, buffer insertion, dual-Vdd
1Taraneh Taghavi, Foad Dabiri, Ani Nahapetian, Majid Sarrafzadeh Tutorial on congestion prediction. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF algorithm, prediction, delay, congestion, wirelength
1Ilhan Hatirnaz, Stéphane Badel, Nuria Pazos, Yusuf Leblebici, Srinivasan Murali, David Atienza, Giovanni De Micheli Early wire characterization for predictable network-on-chip global interconnects. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF early wire characterization, design methodology, NoCs, global interconnects
1Hoyeol Cho, Kyung-Hoae Koo, Pawan Kapur, Krishna Saraswat Modeling of the performance of carbon nanotube bundle, cu/low-k and optical on-chip global interconnects. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Cu, bandwidth density, power, latency, optics, carbon nanotube, Global interconnects
1Nallamothu Satyanarayana, Madhu Mutyam, A. Vinaya Babu Exploiting on-chip data behavior for delay minimization. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF coding, crosstalk, deep-submicron
1Shuhei Amakawa, Takumi Uezono, Takashi Sato, Kenichi Okada, Kazuya Masu Adaptable wire-length distribution with tunable occupation probability. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF wire-length distribution, rent's rule
1Payman Zarkesh-Ha, Ken Doniger Stochastic interconnect layout sensitivity model. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF critical area analysis, layout sensitivity, reliability, stochastic model, yield, design for manufacturability, defect density
1Prashant Saxena The scaling of interconnect buffer needs. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interconnect, scaling, buffers, repeaters
1J. Balachandran, Steven Brebels, Geert Carchon, Maarten Kuijk, Walter De Raedt, Bart Nauwelaers, Eric Beyne Constant impedance scaling paradigm for interconnect synthesis. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF transmission lines, interconnect optimization, global interconnects
1Andrew B. Kahng, Rasit Onur Topaloglu Generation of design guarantees for interconnect matching. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF design guarantee generation, interconnect matching
1Andrew B. Kahng, Sherief Reda A tale of two nets: studies of wirelength progression in physical design. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF placer suboptimality, benchmarking, consistency, similarity, wirelength
1Chandu Visweswariah Statistical analysis and optimization in the presence of gate and interconnect delay variations. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF variability, robust optimization, statistical timing
1Wenyi Feng, Jonathan W. Greene Post-placement interconnect entropy: how many configuration bits does a programmable logic device need? Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF switching requirement, FPGAs, lower bound, entropy, interconnect, placement, rent's rule, programmable interconnect
1Pranav Anbalagan, Jeffrey A. Davis A priori prediction of tightly clustered connections based on heuristic classification trees. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF wire length prediction
Displaying result #101 - #200 of 312 (100 per page; Change: )
Pages: [<<][1][2][3][4][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license