The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for SoC with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1937-1972 (75) 1973 (84) 1974 (82) 1975 (87) 1976 (94) 1977 (77) 1978 (77) 1979 (98) 1980 (99) 1981 (119) 1982 (165) 1983 (91) 1984 (92) 1985 (92) 1986 (134) 1987 (124) 1988 (134) 1989 (54) 1990 (143) 1991 (149) 1992 (123) 1993 (116) 1994 (145) 1995 (183) 1996 (255) 1997 (257) 1998 (625) 1999 (719) 2000 (907) 2001 (775) 2002 (931) 2003 (1159) 2004 (1255) 2005 (1415) 2006 (1554) 2007 (1575) 2008 (1473) 2009 (1448) 2010 (1445) 2011 (1742) 2012 (1741) 2013 (1771) 2014 (1645) 2015 (1937) 2016 (1970) 2017 (1932) 2018 (2081) 2019 (1946) 2020 (2112) 2021 (2419) 2022 (2556) 2023 (2870) 2024 (970)
Publication types (Num. hits)
article(37376) book(6) data(5) incollection(72) inproceedings(8546) phdthesis(37) proceedings(80)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3961 occurrences of 1777 keywords

Results
Found 46124 publication records. Showing 46122 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
36Partha Pratim Pande, Cristian Grecu, Michael Jones, André Ivanov, Resve A. Saleh Performance Evaluation and Design Trade-Offs for Network-on-Chip Interconnect Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF system-on-chip, Network-on-chip, interconnect architecture, MP-SoC, infrastructure IP
36Xi Huang 0002, Ze Zhao, Li Cui EasiSOC: Towards Cheaper and Smaller. Search on Bibsonomy MSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SOC (System on Chip), wireless sensor network, embedded system, sensor node
36Cristian Grecu, Partha Pratim Pande, André Ivanov, Res Saleh Structured interconnect architecture: a solution for the non-scalability of bus-based SoCs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF BFT, scalability, pipelining, bus, MP-SoC
36Yunsi Fei, Niraj K. Jha Functional Partitioning for Low Power Distributed Systems of Systems-on-a-Chip. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF SOC synthesis, genetic algorithm, functional partitioning
36Yuanbin Guo, Joseph R. Cavallaro A Low Complexity and Low Power SoC Design Architecture for Adaptive MAI Suppression in CDMA Systems. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF adaptive, VLSI, low power, SoC, CDMA, interference cancellation
36Hsin-hung Lin, Chih-wen Hsueh COS: A Configurable OS for Embedded SoC Systems. Search on Bibsonomy RTCSA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Configurable OS, Embedded OS, Service-Oriented Architecture, SoC
36Slo-Li Chu An Energy Reduction Scheduling Mechanism for a High-Performance SoC Architecture. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF EOPRS, SAGE II, SoC, Power Reduction, Processor-in-Memory
35Anuja Sehgal, Sudarshan Bahukudumbi, Krishnendu Chakrabarty Power-aware SoC test planning for effective utilization of port-scalable testers. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF port-scalable testers, test access architecture, integer linear programming, SoC test
35Eun-Tack Oh, Kwang-Sung Jung, Sung-Min Lee, Cheol-Hong Moon An Embedded SoC System IP to Trace Object and Distance. Search on Bibsonomy ICIC (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Embedded SoC, IP Develop, Image Process, CCD Cameras
35Paolo Bernardi, Michelangelo Grosso, Maurizio Rebaudengo, Matteo Sonza Reorda A System-layer Infrastructure for SoC Diagnosis. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SoC diagnosis, Processor and UDL logic self-testing, Memory, Infrastructure-IP
35Hyun-min Kyung, Gi-Ho Park, Jong Wook Kwak, WooKyeong Jeong, Tae-Jin Kim, Sung-Bae Park Performance monitor unit design for an AXI-based multi-core SoC platform. Search on Bibsonomy SAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SOC platform, performance monitor, architecture exploration, AMBA, AXI
35Jeong-Ho Woo, Ju-Ho Sohn, Hyejung Kim, Jongcheol Jeong, Euljoo Jeong, Suk Joong Lee, Hoi-Jun Yoo A low power multimedia SoC with fully programmable 3D graphics and MPEG4/H.264/JPEG for mobile devices. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF mobile multimedia SoC, programmable 3D graphics, low power design
35Myeong-Chul Park, Young-Joo Kim, Ingeol Chun, Seok-Wun Ha, Yong-Kee Jun A GDB-Based Real-Time Tracing Tool for Remote Debugging of SoC Programs. Search on Bibsonomy ICHIT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF SoC Program, GDB, Remote Debugging, Real-time Tracing, JTAG
35Andreas Wieferink, Rainer Leupers, Gerd Ascheid, Heinrich Meyr, Tom Michiels, Achim Nohl, Tim Kogel Retargetable generation of TLM bus interfaces for MP-SoC platforms. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF simulation, SystemC, architecture exploration, TLM, retargetability, MP-SoC
35Yuan-Hsiu Chen, Pao-Ann Hsiung Hardware Task Scheduling and Placement in Operating Systems for Dynamically Reconfigurable SoC. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Operating System for Reconfigurable SoC, Hardware Scheduling, Placement, Dynamic Partial Reconfiguration
35Chulho Shin, Young-Taek Kim, Eui-Young Chung, Kyu-Myung Choi, Jeong-Taek Kong, Soo-Kwan Eo Fast Exploration of Parameterized Bus Architecture for Communication-Centric SoC Design. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Bus Configuration, genetic algorithm, Optimization, Platform-based design, SoC design
35Sang-Il Han, Amer Baghdadi, Marius Bonaciu, Soo-Ik Chae, Ahmed Amine Jerraya An efficient scalable and flexible data transfer architecture for multiprocessor SoC with massive distributed memory. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF data transfer architecture, memory server, message passing, network on chip, network interface, multiprocessor SoC
35Hiroshi Date, Toshinori Hosokawa, Michiaki Muraoka A SoC Test Strategy Based on a Non-Scan DFT Method. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF non-scan DFT, high level design and test, SoC test
35Cristian Mateos, Marco Crasso, Alejandro Zunino, Marcelo R. Campo Separation of concerns in service-oriented applications based on pervasive design patterns. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF contract-last service consumption, dependency injection, web services, service-oriented computing, separation of concerns
35Yinong Chen, Ashutosh Sabnis, Marcos Garcia-Acosta Design and Performance Evaluation of a Service-Oriented Robotics Application. Search on Bibsonomy ICDCS Workshops The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
35Zhengwei Chang, Guangze Xiong Hardware/Software Partitioning of Core-Based Systems Using Pulse Coupled Neural Networks. Search on Bibsonomy ISNN (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Jiang Xu 0001, Wayne H. Wolf, Jörg Henkel, Srimat T. Chakradhar A design methodology for application-specific networks-on-chip. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF regular topology, architecture, methodology, networks-on-chip, Application-specific
35Frits Steenhof, Harry Duque, Björn Nilsson, Kees Goossens, Rafael Peset Llopis Networks on chips for high-end consumer-electronics TV system architectures. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Anuja Sehgal, Krishnendu Chakrabarty Efficient Modular Testing of SOCs Using Dual-Speed TAM Architectures. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Rainer Andergassen, Peter Nijkamp, Aura Reggiani Analysis of regional labour market dynamics: In search of indications for self-organised criticality. Search on Bibsonomy J. Geogr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF JEL classification C82, E32, R11, R23
35Tony Givargis, Frank Vahid Platune: a tuning framework for system-on-a-chip platforms. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Mohamed Shalan, Vincent John Mooney III Hardware support for real-time embedded multiprocessor system-on-a-chip memory management. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Atalanta, SoCDMMU, real-time operating systems., two-level memory management, real-time systems, embedded systems, System-on-a-Chip, dynamic memory management
33Floriberto A. Lima Integration of Power Management Units onto the SoC. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
33Lukasz Masko, Marek Tudruj Task Scheduling for SoC-Based Dynamic SMP Clusters with Communication on the Fly. Search on Bibsonomy ISPDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
33KyungHo Kim Best ways to use billions of devices on a wireless mobile SoC. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
33Shuang-shuang Han, Wan-zhong Chen The Algorithm of Dynamic Battery SOC Based on Mamdani Fuzzy Reasoning. Search on Bibsonomy FSKD (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
33Quming Zhou, Kedarnath J. Balakrishnan Test cost reduction for SoC using a combined approach to test data compression and test scheduling. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
33Shengqi Yang, Wayne H. Wolf, Narayanan Vijaykrishnan, Yuan Xie 0001 Reliability-Aware SOC Voltage Islands Partition and Floorplan. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
33Ana Lucia Varbanescu, Henk J. Sips, Arjan J. C. van Gemund PAM-SoC: A Toolchain for Predicting MPSoC Performance. Search on Bibsonomy Euro-Par The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
33Aseem Gupta, Nikil D. Dutt, Fadi J. Kurdahi, Kamal S. Khouri, Magdy S. Abadir Floorplan driven leakage power aware IP-based SoC design space exploration. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF floorplan, leakage power, temperature
33Torsten Kempf, Malte Doerper, Rainer Leupers, Gerd Ascheid, Heinrich Meyr, Tim Kogel, Bart Vanthournout A Modular Simulation Framework for Spatial and Temporal Task Mapping onto Multi-Processor SoC Platforms. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
33Zahid Khan, Tughrul Arslan, Ahmet T. Erdogan A Novel Bus Encoding Scheme from Energy and Crosstalk Efficiency Perspective for AMBA Based Generic SoC Systems. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
33Anuja Sehgal, Vikram Iyengar, Krishnendu Chakrabarty SOC test planning using virtual test access architectures. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Mark Litterick, Joachim Geishauser Robust Vera Coding Techniques for Gate-Level and Tester-Compliant SoC Verification Environments. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Jung-Been Im, Sunghoon Chun, Geunbae Kim, Jin-Ho Ahn, Sungho Kang RAIN (RAndom Insertion) Scheduling Algorithm for SoC Test. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Kuo-Liang Cheng, Jing-Reng Huang, Chih-Wea Wang, Chih-Yen Lo, Li-Ming Denq, Chih-Tsun Huang, Shin-Wei Hung, Jye-Yuan Lee An SOC Test Integration Platform and Its Industrial Realization. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Chun-Yao Wang, Shing-Wu Tung, Jing-Yang Jou Automatic interconnection rectification for SoC design verification based on the port order fault model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
33Grant Martin Industry Needs and Expectations of SoC Design Education. Search on Bibsonomy MSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
33Mojy C. Chian Driving forces behind SOC development. Search on Bibsonomy CODES+ISSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
33Luca Benini, Giovanni De Micheli Networks on Chips: A New SoC Paradigm. Search on Bibsonomy Computer The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
33Sandeep Koranne, Vikram Iyengar On the Use of k-tuples for SoC Test Schedule Representation. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Sudhakar Surendran, Rubin A. Parekhji, R. Govindarajan A systematic approach to synthesis of verification test-suites for modular SoC designs. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Hongjiang Song Novel mixed domain VLSI signal processing circuits for high performance, low power and area penalty SOC signal processing. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Dipanjan Sengupta, Resve A. Saleh Supply voltage selection in Voltage Island based SoC design. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Justin Xu, Cheng-Chew Lim Modelling Heterogeneous Interactions in SoC Verification. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Alain J. Martin Can Asynchronous Techniques Help the SoC Designer? Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Erik Larsson, Stina Edbom Combined Test Data Selection and Scheduling for Test Quality Optimization under ATE Memory Depth Constraint. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Awalin Sopan, Matthew Berninger, Murali Mulakaluri, Raj Katakam Building a Machine Learning Model for the SOC, by the Input from the SOC, and Analyzing it for the SOC. Search on Bibsonomy VizSEC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
31Chun-Ming Huang, Kuen-Jong Lee, Chih-Chyau Yang, Wen-Hsiang Hu, Shi-Shen Wang, Jeng-Bin Chen, Chi-Shi Chen, Lan-Da Van, Chien-Ming Wu, Wei-Chang Tsai, Jing-Yang Jou Multi-Project System-on-Chip (MP-SoC): A Novel Test Vehicle for SoC Silicon Prototyping. Search on Bibsonomy SoCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Bing Guo, Dianhui Wang, Yan Shen, Zhishu Li A Hopfield neural network approach for power optimization of real-time operating systems. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SoC, Power optimization, RTOS, Hopfield neural network, Hardware-software partitioning
31Miguel Matos, António Luís Sousa Dependable distributed OSGi environment. Search on Bibsonomy MW4SOC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF JAVA, virtualization, OSGi, SOC
31 FSA SiP Market and Patent Analysis Report. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF patent analysis, SiP market, SoC, packaging, SiP, system in package
31Bing Guo, Dianhui Wang, Yan Shen, Zhishu Li Neurocomputing for Minimizing Energy Consumption of Real-Time Operating System in the System-on-a-Chip. Search on Bibsonomy ICONIP (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF SoC, Power optimization, RTOS, Hopfield neural network, Hardware-software partitioning
30Roger F. Woods, John V. McCanny, John G. McWhirter From Bit Level Systolic Arrays to HDTV Processor Chips. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SoC architectures, DSP systems, pipelining, systolic arrays
30Aseem Gupta, Nikil D. Dutt, Fadi J. Kurdahi, Kamal S. Khouri, Magdy S. Abadir LEAF: A System Level Leakage-Aware Floorplanner for SoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF LEAF, leakage-aware floorplanning, temperature-aware leakage power, dynamic power profile, system on chip, SoC designs
30Sudarshan Bahukudumbi, Sule Ozev, Krishnendu Chakrabarty, Vikram Iyengar AWafer-Level Defect Screening Technique to Reduce Test and Packaging Costs for "Big-D/Small-A" Mixed-Signal SoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF mixed-signal cores, wafer-level defect screening, packaging cost reduction, big-D/small-A mixed-signal system-on-chip designs, mixed-signal SoC, consumer electronics market, wafer-level testing, correlation-based signature analysis, low-cost digital testers, generic cost model, mixed-signal test, digital logic, test cost reduction
30Zahra Sadat Ebadi, André Ivanov Time Domain Multiplexed TAM: Implementation and Comparison. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Time domain multiplexed TAM, Optimal test time, Test Access Mechanism (TAM), SoC testing, Embedded core testing
30Sau-Kwo Chiu, Jen-Chieh Yeh, Chih-Tsun Huang, Cheng-Wen Wu Diagonal Test and Diagnostic Schemes for Flash Memorie. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF built-in self-diagnosis (BISD), memory diagnosis, built-in self-test (BIST), flash memory, memory testing, system-on-chip (SOC)
30XuBang Shen Evolution of MPP SoC architecture techniques. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF architecture, SoC, array processor, MPP
30Kee Sup Kim, Ming Zhang 0017 Hierarchical Test Compression for SoC Designs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SoC, hierarchical, test compression
30Alicia Strang, David Potts, Shankar Hemmady A Holistic Approach to SoC Verification. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF holistic verification, verification management, right-brained thinking, verification, debug, SoC, visualization environments
30Dac C. Pham, Hans-Werner Anderson, Erwin Behnen, Mark Bolliger, Sanjay Gupta, H. Peter Hofstee, Paul E. Harvey, Charles R. Johns, James A. Kahle, Atsushi Kameyama, John M. Keaty, Bob Le, Sang Lee, Tuyen V. Nguyen, John G. Petrovick, Mydung Pham, Juergen Pille, Stephen D. Posluszny, Mack W. Riley, Joseph Verock, James D. Warnock, Steve Weitzel, Dieter F. Wendel Key features of the design methodology enabling a multi-core SoC implementation of a first-generation CELL processor. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF 64-bit Power Architecture, design dependency solution, digital thermal sensor, flexible IO, hardware content protection, high-performance latch, linear sensor, local clock buffer, multi-operating system, synergistic processor, real-time system, modularity, power management, Linux, multi-core, multi-threading, SOC, thermal management, design environment, CELL Processor, clock distribution, virtualization technology, SOI, correct-by-construction, re-use, design hierarchy
30Defeng Sun, Jie Sun 0001 Strong Semismoothness of the Fischer-Burmeister SDC and SOC Complementarity Functions. Search on Bibsonomy Math. Program. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Fischer-Burmeister function, strong semismoothness, SVD, SOC, SDC
30Chia-Chun Tsai, Jan-Ou Wu, Chung-Chieh Kuo, Trong-Yen Lee, Wen-Ta Lee Zero-Skew Driven for RLC Clock Tree Construction in SoC. Search on Bibsonomy ICITA (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF RLC delay model, Upward propagation, SoC, Clock tree, Zero skew
30Jae-Gon Lee, Woo-Seung Yang, Young-Su Kwon, Young-Il Kim, Chong-Min Kyung Simulation acceleration of transaction-level models for SoC with RTL sub-blocks. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SoC, systemc, transaction-level modeling, TLM, simulation acceleration
30Slo-Li Chu PSS: A Novel Statement Scheduling Mechanism for a High-Performance SoC Architecture. Search on Bibsonomy ICPADS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Pair-Selection Scheduling, Statement Analysis, SoC, Processor-in-Memory, SAGE
30Takeshi Sakamoto, Takashi Yamada, Mamoru Mukuno, Yoshifumi Matsushita, Yasoo Harada, Hiroto Yasuura Power analysis techniques for SoC with improved wiring models. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF custom wire load model, SoC, power analysis, gate-level
29Tseesuren Batsuuri, Je-Hoon Lee, Kyoung-Rok Cho Power Efficient Co-simulation Framework for a Wireless Application Using Platform Based SoC. Search on Bibsonomy SAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Platform based SoC, HW/SW co-simulation, verification
29Patrice Gerin, Hao Shen, A. Chureau, Aimen Bouchhima, Ahmed Amine Jerraya Flexible and Executable Hardware/Software Interface Modeling for Multiprocessor SoC Design Using SystemC. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF transaction accurate level, hardware/software interface modeling, multiprocessor SoC design, automatic generation tools, system-on-chip, SystemC, abstraction level, architecture exploration
29Tomokazu Yoneda, Akiko Shuto, Hideyuki Ichihara, Tomoo Inoue, Hideo Fujiwara TAM Design and Optimization for Transparency-Based SoC Test. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF TAM design, transparency, ILP, SoC test
29Holger Blume, Thorsten von Sydow, Tobias G. Noll A Case Study for the Application of Deterministic and Stochastic Petri Nets in the SoC Communication Domain. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF SoC communication, Petri nets, performance modeling, design space exploration, performance estimation
29Umar Farooq 0009, Muhammad Saleem, Habibullah Jamal Parameterized FIR Filtering IP Cores for Reusable SoC Design. Search on Bibsonomy ITNG The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Finite Impulse Response (FIR) filter, Unfolded Direct Form (UDF), Folded Direct Form (FDF), Parameterized, SoC design, IP Core, Synthesis tools
29Cheong-Ghil Kim, Dae-Young Jeong, Byung-Gil Kim, Shin-Dug Kim Reconfigurable Microarchitecture Based System-Level Dynamic Power Management SoC Platform. Search on Bibsonomy ICESS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF quarter-pel interpolation, multimedia SoC, low-power, system architecture, H.264/AVC, motion compensation, memory access
29Qiang Xu 0001, Nicola Nicolici Multi-Frequency Test Access Mechanism Design for Modular SOC Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Multi-frequency Virtual TAM, SOC testing
29Erik Larsson, Zebo Peng An Integrated Framework for the Design and Optimization of SOC Test Solutions. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF test access mechanism design, test resource placement, test conflicts, power consumption, test scheduling, SOC test, test resource partitioning
29Angela Krstic, Wei-Cheng Lai, Kwang-Ting Cheng, Li Chen, Sujit Dey Embedded software-based self-testing for SoC design. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF functional test, SoC test, VLSI test, microprocessor test
29Bingjun Xiao, Yiyu Shi 0001, Lei He 0001 A universal state-of-charge algorithm for batteries. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF state of charge, modeling, battery, circuit analysis
29Subhomoy Chattopadhyay Low power design techniques for nanometer design processes: 65 nm and smaller. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 65 nm, low power, embedded design
29Éric Piel, Philippe Marquet, Jean-Luc Dekeyser Model Transformations for the Compilation of Multi-processor Systems-on-Chip. Search on Bibsonomy GTTSE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Praveen Bhojwani, Rabi N. Mahapatra An Infrastructure IP for Online Testing of Network-on-Chip Based SoCs. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Mohammad Ali Ghodrat, Kanishka Lahiri, Anand Raghunathan Accelerating System-on-Chip Power Analysis Using Hybrid Power Estimation. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Gabor Madl, Sudeep Pasricha, Luis Angel D. Bathen, Nikil D. Dutt, Qiang Zhu 0008 Formal performance evaluation of AMBA-based system-on-chip designs. Search on Bibsonomy EMSOFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF performance evaluation, model checking, system-on-chip
29Lukasz Masko Task Scheduling for Dynamic SMP Clusters with Communication on the Fly for Bounded Number of Resources. Search on Bibsonomy ISPDC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Youhui Zhang, Liu Dong, Yu Gu 0005, Dongsheng Wang 0002 Exploring Design Space Using Transaction Level Models. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Lukasz Masko Scheduling Task Graphs for Execution in Dynamic SMP Clusters with Bounded Number of Resources. Search on Bibsonomy PPAM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Zhihui Xiong, Sikun Li, Jihua Chen, Maojun Zhang Hardware/Software Co-design Environment for Hierarchical Platform-Based Design. Search on Bibsonomy CSCWD (Selected papers) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Ozgur Sinanoglu, Alex Orailoglu Partial Core Encryption for Performance-Efficient Test of SOCs. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Minsu Choi, Nohpill Park, Fabrizio Lombardi, Yong-Bin Kim, Vincenzo Piuri Balanced Redundancy Utilization in Embedded Memory Cores for Dependable Systems. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Sandeep Kumar Goel, Erik Jan Marinissen Effective and Efficient Test Architecture Design for SOCs. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Subir K. Roy, S. Ramesh, Supratik Chakraborty, Tsuneo Nakata, Sreeranga P. Rajan Functional Verification of System on Chips-Practices, Issues and Challenges (Tutorial Abstract). Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Srivaths Ravi 0001, Niraj K. Jha Synthesis of System-on-a-chip for Testability. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Reinaldo A. Bergamaschi, William R. Lee Designing systems-on-chip using cores. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
28Kan Huang, Junlin Lu, Jiufeng Pang, Yansong Zheng, Hao Li, Dong Tong 0001, Xu Cheng 0001 FPGA prototyping of an amba-based windows-compatible SoC. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, microsoft windows, amba, x86
28Michael Leuschel, Marisa Llorens, Javier Oliver 0001, Josep Silva, Salvador Tamarit SOC: a slicer for CSP specifications. Search on Bibsonomy PEPM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF software engineering, program slicing
28Tatsuya Kamei, Tetsuhiro Yamada, Takao Koike, Masayuki Ito, Takahiro Irita, Kenichi Nitta, Toshihiro Hattori, Shinichi Yoshioka A 65nm dual-mode baseband and multimedia application processor SoC with advanced power and memory management. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 46122 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license