The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for asynchronous with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1955-1964 (15) 1965-1967 (17) 1968-1969 (23) 1970-1971 (33) 1972-1973 (20) 1974 (19) 1975-1976 (23) 1977-1978 (32) 1979-1980 (21) 1981-1982 (29) 1983 (21) 1984 (17) 1985 (30) 1986 (38) 1987 (50) 1988 (71) 1989 (85) 1990 (97) 1991 (100) 1992 (157) 1993 (167) 1994 (220) 1995 (311) 1996 (289) 1997 (312) 1998 (301) 1999 (369) 2000 (399) 2001 (450) 2002 (521) 2003 (542) 2004 (602) 2005 (737) 2006 (788) 2007 (858) 2008 (774) 2009 (663) 2010 (531) 2011 (474) 2012 (476) 2013 (557) 2014 (495) 2015 (523) 2016 (582) 2017 (601) 2018 (629) 2019 (735) 2020 (685) 2021 (768) 2022 (782) 2023 (880) 2024 (229)
Publication types (Num. hits)
article(7130) book(16) data(2) incollection(46) inproceedings(10730) phdthesis(190) proceedings(34)
Venues (Conferences, Journals, ...)
CoRR(1205) ASYNC(594) IEEE Trans. Computers(175) ISCAS(163) IEEE Trans. Commun.(149) PODC(147) IEEE Access(139) ICC(130) IEEE Trans. Wirel. Commun.(130) IPDPS(121) IACR Cryptol. ePrint Arch.(115) GLOBECOM(111) DISC(109) HICSS(104) IEEE Trans. Parallel Distribut...(103) ICASSP(102) More (+10 of total 3075)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 9115 occurrences of 3515 keywords

Results
Found 18148 publication records. Showing 18148 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
33Tswen-Yuh Hsiao, Win-Tsung Lo, Shyan-Ming Yuan An Asynchronous Message Exchange System on CORBA. Search on Bibsonomy TOOLS (37) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF asynchronous message exchange system, Internet-scale event-driven data exchange architecture, asynchronous publish/subscriber communication model, Inprise Visibroker, Java product families, distributed loosely-coupled, heterogeneous network systems, event generation, event observation, Java, Internet, CORBA, legacy systems, electronic data interchange, distributed object management, event service, OMG, naming services, naming service, event notification, Java programming language
33Allen E. Sjogren, Chris J. Myers Interfacing Synchronous and Asynchronous Modules Within a High-Speed Pipeline. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Mixed synchronous/asynchronous interfacing, stoppable clocks, high-speed pipelines, globally synchronous locally asynchronous, metastability, synchronization failure
33Kenneth Y. Yun, David L. Dill A high-performance asynchronous SCSI controller. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF peripheral interfaces, high-performance asynchronous SCSI controller, small computer systems interface, asynchronous pipeline, extended burst-mode machines, CMOS standard cell, data transfer throughput, distributed control scheme, extended burst-mode state machines, synchronisation, distributed control, CMOS integrated circuits, FIFO
33Bret Stott, Dave Johnson 0003, Venkatesh Akella Asynchronous 2-D discrete cosine transform core processor. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF asynchronous 2-D discrete cosine transform core processor, self-timed design, CCITT compatible asynchronous DCT/IDCT processor, two-phase transition signaling, bounded delay approach, Sutherland's micropipeline, custom techniques, 2 /spl mu/ SCMOS technology, delays, discrete cosine transforms, digital signal processing chips, CMOS digital integrated circuits, standard cell, 2 micron
33Steven M. Nowick, Niraj K. Jha, Fu-Chiung Cheng Synthesis of asynchronous circuits for stuck-at and robust path delay fault testability. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF stuck-at fault testability, robust path delay fault testability, multilevel logic, hazard-free logic, synthesis for testability method, multi-level circuit, minimization algorithms, logic testing, delays, redundancy, design for testability, logic design, combinational circuits, asynchronous circuits, asynchronous circuits, multivalued logic circuits, minimisation of switching nets, area overhead, hazards and race conditions
33Ad M. G. Peeters, Kees van Berkel 0001 Single-rail handshake circuits. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF single-rail handshake circuits, four-phase handshake protocol, standard-cell library, technology migration, low-power applications, VLSI, protocols, energy-efficient, asynchronous circuits, asynchronous circuits, integrated logic circuits, cellular arrays
33Antonio J. Acosta 0001, Manuel J. Bellido, Manuel Valencia-Barrero, Angel Barriga, Raúl Jiménez, José L. Huertas New CMOS VLSI linear self-timed architectures. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF semiconductor storage, CMOS VLSI linear self-timed architectures, digital signal processor circuits, self-timed techniques, synchronous VLSI circuits, FIFO memories, VLSI, asynchronous circuits, asynchronous circuits, digital signal processing chips, CMOS memory circuits, hardware resources
33Michel Cosnard, Pierre Fraigniaud Analysis of Asynchronous Polynomial Root Finding Methods on a Distributed Memory Multicomputer. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF poles and zeros, distributed memorysystems, asynchronous polynomial root finding, iterative polynomial root finding, locally convergent, asynchronous methods, synchronous, convergence, polynomials, convergence of numerical methods, distributed memory multicomputer, hypercube multicomputer, polynomial zeros
32Robert P. Biuk-Aghai, Keng Hong Lei Chatting in the Wiki: synchronous-asynchronous integration. Search on Bibsonomy Int. Sym. Wikis The full citation details ... 2010 DBLP  DOI  BibTeX  RDF communication, synchronous, wiki, instant messaging, asynchronous
32Hannamari Saarenpää, Hannu Korhonen, Janne Paavilainen Asynchronous gameplay in pervasive multiplayer mobile games. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2009 DBLP  DOI  BibTeX  RDF pervasive mobile game, asynchronous, gameplay
32Anders Bruun, Peter Gull, Lene Hofmeister, Jan Stage Let your users do the testing: a comparison of three remote asynchronous usability testing methods. Search on Bibsonomy CHI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF asynchronous testing, empirical study, usability testing, remote testing
32Arpita Patra, Ashish Choudhary, C. Pandu Rangan Simple and efficient asynchronous byzantine agreement with optimal resilience. Search on Bibsonomy PODC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF unbounded computing power, byzantine agreement, asynchronous networks, VSS
32Michael N. Kalochristianakis, Michael Paraskevas, Emmanouel A. Varvarigos Asynchronous Tele-education and Computer-Enhanced Learning Services in the Greek School Network. Search on Bibsonomy WSKS (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Asynchronous tele-education, school network, comparison, e-learning platforms
32Yulian Fei, Min Wang, Wenjuan Chen Research on Asynchronous Communication-Oriented Page Searching. Search on Bibsonomy AIRS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF search engine, asynchronous communication, crawler
32Mehrdad Najibi, Kamran Saleh, Hossein Pedram Using standard asic back-end for qdi asynchronous circuits: dealing with isochronic fork constraint. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF quasi-delay insensitive, standard-cell layout, asynchronous circuits
32Yingxin Pan, Chen Zhao Group Efficacy in Asynchronous vs. Multi-synchronous Virtual Teams: An Empirical Study. Search on Bibsonomy INTERACT (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Group Efficacy, Asynchronous & Multi-synchronous communication, CSCW, groupware, virtual team
32Rong Zheng 0001, Jennifer C. Hou, Lui Sha Optimal Block Design for Asynchronous Wake-Up Schedules and Its Applications in Multihop Wireless Networks. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF power management, multihop wireless networks, block design, Asynchronous wake-up
32Nawab Ali, Mario Lauria Improving the Performance of Remote I/O Using Asynchronous Primitives. Search on Bibsonomy HPDC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF remote I/O performance, asynchronous primitive, remote storage facility, high-speed Internet service, SEMPLAR remote I/O library, SDSC storage resource broker, data compression, scientific application, optimization technique, grid environment
32G. Fraidy Bouesse, Gilles Sicard, Marc Renaudin Path Swapping Method to Improve DPA Resistance of Quasi Delay Insensitive Asynchronous Circuits. Search on Bibsonomy CHES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF QDI Asynchronous circuits, Path Swapping (PS), Power analysis
32Alexander B. Smirnov, Alexander Taubin, Ming Su, Mark G. Karpovsky An Automated Fine-Grain Pipelining Using Domino Style Asynchronous Library. Search on Bibsonomy ACSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF asynchronous EDA, QDI, synthesis, ASIC, HDL
32Peggy B. McGee, Steven M. Nowick, Edward G. Coffman Jr. Efficient performance analysis of asynchronous systems based on periodicity. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF performance, asynchronous, periodic, marked graphs, petrinets
32Eun-Gu Jung, Jeong-Gun Lee, Sanghoon Kwak, Kyoung-Sun Jhang, Jeong-A Lee, Dong-Soo Har High performance asynchronous on-chip bus with multiple issue and out-of-order/in-order completion. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF asynchronous on-chip bus, in-order completion, multiple issue, out-of-order completion, SoC, GALS
32Jacques M. Bahi, Raphaël Couturier, Philippe Vuillemin Asynchronous Iterative Algorithms for Computational Science on the Grid: Three Case Studies. Search on Bibsonomy VECPAR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Asynchronous iterative algorithms, computational science problems, grid computing
32Guillem Bernat Response Time Analysis of Asynchronous Real-Time Systems. Search on Bibsonomy Real Time Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF worst-case response time analysis, weakly-hard, real-time systems, schedulability analysis, asynchronous systems, idle time
32Rong Zheng 0001, Jennifer C. Hou, Lui Sha Asynchronous wakeup for ad hoc networks. Search on Bibsonomy MobiHoc The full citation details ... 2003 DBLP  DOI  BibTeX  RDF asynchronous wakeup, block design and ad hoc networks, power management
32Jean-Claude Royer, Michael Xu Analysing Mailboxes of Asynchronous Communicating Components. Search on Bibsonomy OTM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Unbound or Bound Mailbox, Architecture, Component, Asynchronous Communication, Dynamic Behaviour
32Jean-François Hermant, Gérard Le Lann Fast Asynchronous Uniform Consensus in Real-Time Distributed Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Asynchronous computational models, partially synchronous computational models, uniform consensus, real-time distributed fault-tolerant computing, safety, coverage, schedulability analysis, liveness, timeliness, unreliable failure detectors
32Christian Cachin, Klaus Kursawe, Anna Lysyanskaya, Reto Strobl Asynchronous verifiable secret sharing and proactive cryptosystems. Search on Bibsonomy CCS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF model, secret sharing, asynchronous, proactive
32Chientzu Candace Chou A Comparative Content Analysis of Student Interaction in Synchronous and Asynchronous Learning Networks. Search on Bibsonomy HICSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF asynchronous and synchronous learning, Interaction, Computer-mediated communication, distance learning
32James H. Watt, Joseph B. Walther, Kristine L. Nowak Asynchronous Videoconferencing: A Hybrid Communication Prototype. Search on Bibsonomy HICSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Group support, Asynchronous communication, Videoconferencing
32Ting-Ting Chang, Xiaoying Wang, John Lim Cross-Cultural Communication, Media and Learning Processes in Asynchronous Learning Networks. Search on Bibsonomy HICSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Social Presence, Learning Processes, Distributed Learning, Cross-Cultural Communication, Asynchronous Learning Networks
32Donna Dufner, Ojoung Kwon, Yong-Tae Park, Qing Peng Asynchronous Team Support: Perceptions of the Group Problem Solving Process When Using a CyberCollaboratory. Search on Bibsonomy HICSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Virtual Teamwork, Virtual Workgroup Environments, Web-based Teamwork Environments, Group Support, Collaboratories, Asynchronous Learning Networks
32Eric Senn, Bertrand Y. Zavidovique Examples of Image Processing to Benefit from an Asynchronous Implementation. Search on Bibsonomy CAMP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF asynchronous implementation, machine architecture, router circuit, self-timed design, image processing, image processing, VLSI implementation, communication performances, salient features
32Adrian Moga, Michel Dubois 0001 Performance of Asynchronous Linear Iterations with Random Delays. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF parallel asynchronous algorithms, linear iterative methods, simulation, performance evaluation, multiprocessor systems
32Robert M. Fuhrer, Bill Lin 0001, Steven M. Nowick Symbolic hazard-free minimization and encoding of asynchronous finite state machines. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF optimal state assignment, asynchronous state machines, hazards, sequential synthesis, sequential optimization
32Shuangqing Wei Diversity-Multiplexing Tradeoff of Asynchronous Cooperative Diversity in Wireless Networks. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Lei Wang 0011, Zhiying Wang 0003, Kui Dai An Approximate Method for Performance Evaluation of Asynchronous Pipeline Rings. Search on Bibsonomy CIT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Konrad J. Kulikowski, Ming Su, Alexander B. Smirnov, Alexander Taubin, Mark G. Karpovsky, Daniel MacDonald Delay Insensitive Encoding and Power Analysis: A Balancing Act. Search on Bibsonomy ASYNC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Charlie C. Chen, Terry Ryan, Lorne Olfman The Efficacy of Behavior Modeling: A Comparison of Face-to-Face and Online Asynchronous Software-Training Methods. Search on Bibsonomy HICSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Michiru Tanaka, Yoshimi Teshigawara Design of a Development Environment for Web-based Asynchronous and Synchronous Integrated Groupware Systems. Search on Bibsonomy ICDCS Workshops The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32M. V. N. Ashwin Kumar, K. Srinathan, C. Pandu Rangan Asynchronous Perfectly Secure Computation Tolerating Generalized Adversaries. Search on Bibsonomy ACISP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Alexander H. Jackson, Andrew M. Tyrrell Asynchronous Embryonics. Search on Bibsonomy Evolvable Hardware The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
32Michael Kishinevsky, Alex Kondratyev, Luciano Lavagno, Alexander Saldanha, Alexander Taubin Partial-scan delay fault testing of asynchronous circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
31F. Aeschlimann, Emmanuel Allier, Laurent Fesquet, Marc Renaudin Asynchronous FIR Filters: Towards a New Digital Processing Chain. Search on Bibsonomy ASYNC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Level-crossing sampling, FIR filter, Speech processing, Asynchronous design, Irregular sampling
31Frank te Beest, Kees van Berkel 0001, Ad M. G. Peeters Adding Synchronous and LSSD Modes to Asynchronous Circuits. Search on Bibsonomy ASYNC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF design for testability, asynchronous circuits, scan test, LSSD
31Eckhard Grass, Bodhisatya Sarker, Koushik Maharatna A Dual-Mode Synchronous/Asynchronous CORDIC Processor. Search on Bibsonomy ASYNC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF CORDIC processor, dual-mode, synchronous, asynchronous, self-timed
31Montek Singh, Steven M. Nowick High-Throughput Asynchronous Pipelines for Fine-Grain Dynamic Datapaths. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fine-grain pipelining, VLSI, pipelines, asynchronous, dynamic logic, FIFO, high-throughput, digital design
31Aiguo Xie, Peter A. Beerel Accelerating Markovian Analysis of Asynchronous Systems using String- based State Compression. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF stationary analysis, state compression, performance evaluation, Asynchronous systems, power estimation, convergence rate, Markov chain models, feedback vertex set
31Martin Benes 0002, Steven M. Nowick, Andrew Wolfe A Fast Asynchronous Huffman Decoder for Compressed-Code Embedded Processors. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Huffman encoding, embedded systems, asynchronous, embedded processors, dynamic logic, hazards, digital design
31Marius-Calin Silaghi, Makoto Yokoo ADOPT-ing: unifying asynchronous distributed optimization with asynchronous backtracking. Search on Bibsonomy Auton. Agents Multi Agent Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Distributed CSPs, Semi-cooperative agents, ADOPT, Distributed problem solving, DCOPs
31Pankaj Golani, Georgios D. Dimou, Mallika Prakash, Peter A. Beerel Design of a High-Speed Asynchronous Turbo Decoder. Search on Bibsonomy ASYNC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Edith Beigné, Fabien Clermidy, Pascal Vivet, Alain Clouard, Marc Renaudin An Asynchronous NOC Architecture Providing Low Latency Service and Its Multi-Level Design Framework. Search on Bibsonomy ASYNC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Rostislav (Reuven) Dobkin, Victoria Vishnyakov, Eyal Friedman, Ran Ginosar An Asynchronous Router for Multiple Service Levels Networks on Chip. Search on Bibsonomy ASYNC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Alex Branover, Rakefet Kol, Ran Ginosar Asynchronous Design By Conversion: Converting Synchronous Circuits into Asynchronous Ones. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30C. Rominger, Jean Claude Geffroy Hazard analysis of structured sequential systems. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF structured sequential systems, time uncertainties, asynchronous sequential systems, nondeterministic phenomena, simulation method, structured systems, fault diagnosis, CAD, logic testing, timing, sequential circuits, logic CAD, asynchronous circuits, digital simulation, time analysis, circuit analysis computing, hazard analysis, asynchronous sequential logic
29Michel Raynal, Corentin Travers In Search of the Holy Grail: Looking for the Weakest Failure Detector for Wait-Free Set Agreement. Search on Bibsonomy OPODIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Leader oracle, Participating process, Consensus, Asynchronous system, Shared object, Atomic register, Set agreement, Asynchronous algorithm, Wait-free algorithm
29E. Malley, Ariel Salinas, Kareem Ismail, Lawrence T. Pileggi Power Comparison of Throughput Optimized IC Busses. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Glynn Winskel Petri Nets and Transition Systems (Abstract for an invited talk). Search on Bibsonomy FSTTCS The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
28Pietro Cicotti, Scott B. Baden Short Paper: Asynchronous programming with Tarragon. Search on Bibsonomy HPDC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF run time virtualized process structure, asynchronous programming, Tarragon run time library, actor-based programming model, latency tolerant asynchronous event driven simulation, meta data
28Jan Hlavicka, Stanislav Racek, Pavel Smrha Functional Validation of Fault-Tolerant Asynchronous Algorithms. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF fault-tolerant asynchronous algorithms, distributed asynchronous algorithms, algorithm correctness, process-oriented discrete simulation, fault injector, C-based validation tool, C-Sim, C-coded implementation, distributed election algorithm, formal specification, communication channels, time behavior, functional validation, state observer, failure semantics
28Nikolaos Gaitanis, Dimitris Gizopoulos, Antonis M. Paschalis, Panagiotis Kostarakis An asynchronous totally self-checking two-rail code error indicator. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF asynchronous TSC error indicator, totally self-checking error indicator, concurrent detection, two-rail code error indicator, CMOS implementation, VLSI, logic testing, delays, integrated circuit testing, error detection, automatic testing, asynchronous circuits, CMOS logic circuits, delay faults
28Guillermo A. Alvarez, Marcelo O. Fernández, Ragelio A. Alvez, Sylvia Rodriguez, Julio A. Sánchez Avalos, Jorge L. C. Sanz Run-time support for asynchronous parallel computations. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF asynchronous parallel computations, DREAM, asynchronous multiprocessors, global distributed arrays, dynamic communication patterns, performance evaluation, scalability, parallel computations, programming environments, multiprocessing systems, network latency, run-time support, performance results, distributed programming environment
28Rosalie J. Ocker, Starr Roxanne Hiltz, Murray Turoff, Jerry Fjermestad Computer support for distributed asynchronous software design teams: experimental results on creativity and quality. Search on Bibsonomy HICSS (4) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF distributed asynchronous software design teams, distributed asynchronous computer conferencing, automated post office, course assignment, formal specification, groupware, creativity, quality, systems analysis, software design, computer science, software development management, teleconferencing, software specifications, business, group interaction, graduate students, face-to-face meetings
28Ding Lu, Carol Q. Tong High level fault modeling of asynchronous circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high level fault modeling, transitional fault models, stuck-at-false model, stuck-at-true model, fault diagnosis, logic testing, timing, asynchronous circuits, asynchronous circuits, signal transition graph, signal flow graphs, self-timed circuits
28Kees van Berkel 0001, Ferry Huberts, Ad M. G. Peeters Stretching quasi delay insensitivity by means of extended isochronic forks. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF extended isochronic forks, isochronic-fork assumption, double-rail data paths, DCC error decoder, logic design, asynchronous circuits, asynchronous circuits, arbiter, delay insensitivity, handshake circuits
28Chris J. Myers, Peter A. Beerel, Teresa H.-Y. Meng Technology mapping of timed circuits. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF gate library, C-elements, ATACS, timing, logic design, logic CAD, asynchronous circuits, asynchronous circuits, timing information, AND gates, synthesis tool, OR gates, timed circuits
28Kees van Berkel 0001, Ronan Burgess, Joep L. W. Kessels, Ad M. G. Peeters, Marly Roncken, Frits D. Schalij, Rik van de Wiel A single-rail re-implementation of a DCC error detector using a generic standard-cell library. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF digital audio tape, DCC error detector, generic standard-cell library, single-rail re-implementation, fully asynchronous implementation, handshake signaling, single-rail data encoding, generic cell library, high-level Tangram description, intermediate architecture, high level synthesis, asynchronous circuits, error detection codes, integrated logic circuits, cellular arrays, power dissipation, handshake circuits
28Arpita Patra, C. Pandu Rangan Brief announcement: communication efficient asynchronous byzantine agreement. Search on Bibsonomy PODC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF asynchronous, information theoretic security
28Rajat Subhra Chakraborty, Swarup Bhunia A study of asynchronous design methodology for robust CMOS-nano hybrid system design. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CMOS-nano co-design, dual-rail circuits, logic degradation, nano-scale crossbar, Asynchronous design, robust design, micropipelines
28Vineet Kahlon, Nishant Sinha 0001, Erik Kruus, Yun Zhang Static data race detection for concurrent programs with asynchronous calls. Search on Bibsonomy ESEC/SIGSOFT FSE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF asynchronous programs, static data race detection, bootstrapping, pointer analysis
28Paolo Baldan, Filippo Bonchi, Fabio Gadducci Encoding Asynchronous Interactions Using Open Petri Nets. Search on Bibsonomy CONCUR The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Asynchronous calculi, open Petri nets, decidability, bisimilarity
28Jieh-Shan Yeh, Szu-Chen Lin A new data structure for asynchronous periodic pattern mining. Search on Bibsonomy ICUIMC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF asynchronous sequence, data mining, periodic pattern
28Chin-Khai Tang, Chun-Yen Lin, Yi-Chang Lu An Asynchronous Circuit Design with Fast Forwarding Technique at Advanced Technology Node. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Asynchronous Circuit Design, Single Track, Dual-Rail, Fast Forwarding
28Anca Gog, Camelia Chira, Dumitru Dumitrescu Hybrid Multi-population Collaborative Asynchronous Search. Search on Bibsonomy HAIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF population topology, asynchronous search, multi-agent systems, evolutionary algorithms
28Michel Raynal Eventual Leader Service in Unreliable Asynchronous Systems: Why? How? Search on Bibsonomy NCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Assumption coverage, Behavioral assumption, Eventual tsource, Eventual timely link, Fault-tolerance, Distributed algorithm, System model, Asynchronous system, Oracle, Failure detector, Process crash, Partial synchrony, Omega, Message pattern, Eventual leader
28Ranjit Jhala, Rupak Majumdar Interprocedural analysis of asynchronous programs. Search on Bibsonomy POPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF asynchronous (event-driven) programming, dataflow analysis
28Zuzana Beerliová-Trubíniová, Martin Hirt Simple and Efficient Perfectly-Secure Asynchronous MPC. Search on Bibsonomy ASIACRYPT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF efficiency, asynchronous, hybrid model, Multi-party computation, perfect security
28Je-Hoon Lee, Seung-Sook Lee, Kyoung-Rok Cho Asynchronous ARM Processor Employing an Adaptive Pipeline Architecture. Search on Bibsonomy ARC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF adaptive pipeline, processor, Asynchronous design
28Luis A. Plana, Doug A. Edwards, Sam Taylor, Luis A. Tarazona, Andrew Bardsley Performance-driven syntax-directed synthesis of asynchronous processors. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF handshake components, syntax-directed synthesis, asynchronous circuits
28Ruo Ando, Youki Kadobayashi, Yoichi Shinoda Asynchronous Pseudo Physical Memory Snapshot and Forensics on Paravirtualized VMM Using Split Kernel Module. Search on Bibsonomy ICISC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Asynchronous snapshot, paravirtualized VMM, memory forensics, virtualized interruption, split kernel module
28Yutaka Jitsumatsu, Tohru Kohda Chip-Asynchronous Version of Welch Bound: Gaussian Pulse Improves BER Performance. Search on Bibsonomy SETA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Welch bound equality (WBE) sequence, total squared correlation (TSC), pulse shaping filter, asynchronous DS/CDMA system
28Sheng-Chin Yu, Fong-Ling Fu, Tsang-Hsiung Lee Decreasing the Stratification Influence to Students' Learning Communities by Asynchronous Learning. Search on Bibsonomy ICWL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Asynchronous online learning, Students’ Characteristics, Learning Community
28R. U. R. Mocho, G. H. Sartori, Renato P. Ribas, André Inácio Reis Asynchronous circuit design on reconfigurable devices. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGAs, asynchronous circuits
28Antonio Cerone, George J. Milne Property verification of asynchronous systems. Search on Bibsonomy Innov. Syst. Softw. Eng. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF asynchronous hardware, Formal verification, process algebra, constraint modelling
28Pierre Vignéras Transparency and Asynchronous Method Invocation. Search on Bibsonomy OTM Conferences (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF asynchronous method invocation, concurrency, transparency
28Christof Fetzer, Ulrich Schmid 0001, Martin Süßkraut On the Possibility of Consensus in Asynchronous Systems with Finite Average Response Times. Search on Bibsonomy ICDCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF eventually perfect failure detector, consensus, asynchronous systems, impossibility
28Peggy B. McGee, Steven M. Nowick A lattice-based framework for the classification and design of asynchronous pipelines. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF protocols, framework, pipeline, asynchronous, digital design
28Zheng Liang, Juha Plosila, Lu Yan, Kaisa Sere On-chip Debug for an Asynchronous Java Accelerator. Search on Bibsonomy PDCAT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Java, Debug, Embedded, Asynchronous, Co-design
28John Teifel, Rajit Manohar Highly pipelined asynchronous FPGAs. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF concurrency, pipelining, asynchronous circuits, programmable logic, correctness by construction
28Mohamed Kawokgy, C. André T. Salama Low-power asynchronous viterbi decoder for wireless applications. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF bundled-data, four-phase, low-power, synchronous, wireless, VHDL, digital signal processing, asynchronous, register transfer level, viterbi algorithm, speed-independent, handshaking protocol
28Jae-Heung Yeo, Heon Young Yeom, Taesoon Park An Asynchronous Protocol for Release Consistent Distributed Shared Memory Systems. Search on Bibsonomy J. Supercomput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF lazy release consistency, asynchronous release consistency, distributed shared memory, page fault
28Luca G. Tallini, Bella Bose Transmission Time Analysis for the Parallel Asynchronous Communication Scheme. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF delay-insensitive codes, proximity detecting codes, low weight codes, Asynchronous communication, constant weight codes, unordered codes
28Frank te Beest, Ad M. G. Peeters, Kees van Berkel 0001, Hans G. Kerkhoff Synchronous Full-Scan for Asynchronous Handshake Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF L1L2*, DFT, asynchronous circuits, scan design, LSSD
28Luís E. T. Rodrigues, Michel Raynal Atomic Broadcast in Asynchronous Crash-Recovery Distributed Systems and Its Use in Quorum-Based Replication. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF crash/recovery, consensus, asynchronous systems, quorum, atomic broadcast, weighted voting, replica management, Distributed fault-tolerance
28Serguei Mourachov ATCT: a Java framework that offers new approach to developing asynchronous processes. Search on Bibsonomy OOPSLA Companion The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Java framework, execution context reification, asynchronous processes
28Neil Henderson, Stephen Paynter The Formal Classification and Verification of Simpson's 4-Slot Asynchronous Communication Mechanism. Search on Bibsonomy FME The full citation details ... 2002 DBLP  DOI  BibTeX  RDF retrieve relation, refinement, asynchronous communication, reification
28Jing-Ling Yang, Oliver Chiu-sing Choy, Cheong-Fat Chan, Kong-Pang Pun A Totally Self-Checking Dynamic Asynchronous Datapath. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Totally self-checking asynchronous datapath, differential cascade voltage switch logic, divider
28Christos P. Sotiriou Implementing asynchronous circuits using a conventional EDA tool-flow. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF tool-flow, asynchronous, EDA
28Oliver Chiu-sing Choy, Jan Butas, Juraj Povazanec, Cheong-Fat Chan A New Control Circuit for Asynchronous Micropipelines. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF zero-overhead, dual-rail coding, Asynchronous design, micropipeline
28Changtao Qu, Wolfgang Nejdl Constructing a web-based asynchronous and synchronous collaboration environment using WebDAV and Lotus Sametime. Search on Bibsonomy SIGUCCS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF lotus sametime, web-based distributed authoring and versioning, asynchronous collaboration, synchronous collaboration
Displaying result #101 - #200 of 18148 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license