The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase boundary-scan (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1990 (21) 1991 (23) 1992 (19) 1993 (18) 1994-1995 (33) 1996 (18) 1997 (17) 1998-1999 (24) 2000-2001 (27) 2002 (17) 2003-2004 (26) 2005-2006 (20) 2007-2009 (19) 2010-2015 (16) 2016-2023 (8)
Publication types (Num. hits)
article(94) inproceedings(211) phdthesis(1)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 406 occurrences of 170 keywords

Results
Found 306 publication records. Showing 306 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
21Subhrajit Bhattacharya, Sujit Dey H-SCAN: A high level alternative to full-scan testing with reduced area and test application overheads. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF H-SCAN, parallel register connectivity, on-chip response, sequential test vectors, combinational test vectors, combinational ATPG program, RT-level design, integrated circuit testing, design for testability, automatic testing, fault simulation, fault coverage, test pattern generation, comparator, boundary scan testing, test application time, high-level design, area overhead, testing methodology
21Oliver F. Haberl, Thomas Kropf HIST: A hierarchical self test methodology for chips, boards, and systems. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Boundary-scan architecture, hierarchical self test, self test synthesis, built-in self test (BIST), system test
21Jacob Savir Module level weighted random patterns. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF module level self-test architecture, pseudorandom pattern generator, universal weighting generator, scan latch, near-optimal weight, signal pins, weight control function, self-test time, logic testing, probability, integrated circuit testing, automatic testing, multivalued logic circuits, boundary scan testing, scan test, weighted random patterns, multiple input signature register
21Jacob Savir Generator choices for delay test. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF BIST based delay test, generator choices, delay test vector generator, nonscan designs, transition test, skewed-load delay test, shift dependency, digital logic circuits, performance, VLSI, fault diagnosis, logic testing, delays, built-in self test, integrated circuit testing, ATPG, automatic testing, flexibility, linear feedback shift register, cost, shift registers, scan designs, boundary scan testing, test vectors, timing requirement, pseudo-random test
21Hao Zheng, Kewal K. Saluja, Rajiv Jain Test application time reduction for scan based sequential circuits. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF scan based sequential circuits, single clock configuration, nonscan flip-flops, test vector length, nonatomic two-clock scan method, test generation environment, logic testing, sequential circuits, flip-flops, clocks, partial scan, boundary scan testing, test application time
21O. A. Petlin, Stephen B. Furber Scan testing of asynchronous sequential circuits. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF micropipeline design style, combinational block, state holding elements, standard test generation techniques, VLSI, logic testing, delays, integrated circuit testing, logic design, sequential circuits, asynchronous circuits, integrated logic circuits, delay faults, boundary scan testing, scan testing, single stuck-at faults, asynchronous sequential logic, asynchronous sequential circuits
21Ajay Khoche, Erik Brunvand A partial scan methodology for testing self-timed circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF partial scan methodology, control section testing, macromodule based circuits, sequential network, logic testing, integrated circuit testing, design for testability, logic design, asynchronous circuits, fault coverage, stuck-at faults, integrated logic circuits, boundary scan testing, self-timed circuits
21Vinay Dabholkar, Sreejit Chakravarty, J. Najm, Janak H. Patel Cyclic stress tests for full scan circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF cyclic stress tests, fully testable unpackaged dies, burn-in process, cyclic input sequences, stress related problems, ISCAS89 benchmark circuits, monitored burn-in problems, IC reliability, VLSI, VLSI, logic testing, integrated circuit testing, CMOS, CMOS logic circuits, boundary scan testing, MCMs, integrated circuit reliability, full scan circuits
21Bapiraju Vinnakota, Nicholas J. Stessman Reducing test application time in scan design schemes. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF scan design schemes, computationally intractable problem, test vector correlation, graph theory, fault diagnosis, logic testing, sequential circuits, sequential circuits, automatic testing, fault simulation, fault coverage, correlation methods, boundary scan testing, test times, test application time, heuristic techniques
21Udo Mahlstedt, Jürgen Alt, Matthias Heinitz CURRENT: a test generation system for IDDQ testing. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF CURRENT test system, test generation system, scan-based circuits, library-based fault modeling strategy, intra-gate shorts, inter-gate shorts, gate-drain shorts, deterministic test generator, test set compaction technique, fault diagnosis, logic testing, integrated circuit testing, automatic testing, fault simulator, fault coverage, fault location, CMOS logic circuits, bridging faults, boundary scan testing, I/sub DDQ/ testing, test application time reduction, stuck-on faults, leakage faults
21O. A. Petlin, Stephen B. Furber Scan testing of micropipelines. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF asynchronous VLSI circuit design, AMULET1 microprocessor, scan test technique, data processing blocks, combinational processing logic, state holding elements, test generation techniques, VLSI, logic testing, delays, integrated circuit testing, design for testability, logic design, asynchronous circuits, fault location, integrated circuit design, microprocessor chips, delay faults, boundary scan testing, computer testing, test patterns, single stuck-at faults, micropipelines
21Sridhar Narayanan, Melvin A. Breuer Asynchronous multiple scan chain. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF asynchronous multiple scan chains, scan flip-flops, control complexity, I/O pin count, DFT method, logic IC, logic testing, integrated circuit testing, design for testability, logic design, asynchronous circuits, flip-flops, integrated logic circuits, scan designs, boundary scan testing, test application time
21Kwang-Ting Cheng Partial scan designs without using a separate scan clock. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF flip-flop selection method, flip-flop test generation method, scan registers ordering, scan-shifting concept, test vector compaction, delay fault detection, cycle breaking, logic testing, delays, timing, design for testability, logic design, automatic testing, DFT, fault coverage, flip-flops, circuit optimisation, boundary scan testing, scan chain, combinatorial optimization problem, test generation algorithm, partial scan designs, system clock
21Bulent I. Dervisoglu Features of a Scan and Clock Resource chip for providing access to board-level test functions. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF diagnostics bus, design-for-testability, scan, boundary scan, pseudorandom testing
19Saman Adham, Sanjay Gupta DP-BIST: A Built-In Self Test For DSP DataPaths A Low Overhead and High Fault Coverage Technique. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Shih-Yu Yang, Christos A. Papachristou A method for detecting interconnect DSM defects in systems on chip. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Stephen K. Sunter, Adam Osseiran, Adam Cron, Neil G. Jacobson, Dave Bonnett, Bill Eklow, Carl Barnhart, Ben Bennetts Status of IEEE Testability Standards 1149.4, 1532 and 1149.6. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Saghir A. Shaikh IEEE Std 1149.6 Implementation for a XAUI-to-Serial 10-Gbps Transceiver. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Chen-Huan Chiang, Paul J. Wheatley, Kenneth Y. Ho, Ken L. Cheung Testing and Remote Field Update of Distributed Base Stations in a Wireless Network. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Andrzej Rucinski 0002, Barrett Stetson, S. T. P. Brundavani A DOT1 & DOT4 MOSIS - Compatible Library. Search on Bibsonomy MSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Farzin Karimi, Fabrizio Lombardi A Scan-Bist Environment for Testing Embedded Memories. Search on Bibsonomy MTDT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Farzin Karimi, Fabrizio Lombardi A Scan-Bist Environment for Testing Embedded Memories. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Sungbae Hwang, Jacob A. Abraham Selective-run built-in self-test using an embedded processor. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF processor-based testing, built-in self-test, design for testability, SOC testing, pseudo-random number generator
18Farzin Karimi, Waleed Meleis, Zainalabedin Navabi, Fabrizio Lombardi Data Compression for System-on-Chip Testing Using ATE. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Subhasish Mitra, Edward J. McCluskey, Samy Makar Design for Testability and Testing of IEEE 1149.1 Tap Controller. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Chun-Keung Lo, Philip C. H. Chan An Efficient Structural Approach to Board Interconnect Diagnosis. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Vladimír Székely, Cs. Márta, Zsolt Kohári, Márta Rencz CMOS sensors for on-line thermal monitoring of VLSI circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Al Bailey, Tim Lada, Jim Preston Collateral ASIC Test. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Najmi T. Jarwala, Paul W. Rutkowski, Shianling Wu, Chi W. Yau Lessons Learned from Practical Applications of BIST/B-S Technology. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Zhiwei Li, Zhongliang Pan Research of the Automatic Testing Software on Boundary-scan Test. Search on Bibsonomy ICMLC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Libao Deng, Ning Sun, Ning Fu Boundary scan based interconnect testing design for silicon interposer in 2.5D ICs. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Asma Ben Ahmed, Olfa Mosbahi, Mohamed Khalgui, Zhiwu Li 0001 Boundary Scan Extension for Testing Distributed Reconfigurable Hardware Systems. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Toshiaki Satoh, Hiroyuki Yotsuyanagi, Masaki Hashizume On Delay Elements in Boundary Scan Cells for Delay Testing of 3D IC Interconnection. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Shuya Kikuchi, Hiroyuki Yotsuyanagi, Masaki Hashizume On Delay Measurement Under Delay Variations in Boundary Scan Circuit with Embedded TDC. Search on Bibsonomy ITC-Asia The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Satoshi Hirai, Hiroyuki Yotsuyanagi, Masaki Hashizume Test Time Reduction on Testing Delay Faults in 3D ICs Using Boundary Scan Design. Search on Bibsonomy ATS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Pok Man Preston Law, Cheng-Wen Wu, Long-Yi Lin, Hao-Chiao Hong An Enhanced Boundary Scan Architecture for Inter-Die Interconnect Leakage Measurement in 2.5D and 3D Packages. Search on Bibsonomy ATS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Farnaz Fotovatikhah, Bahareh Naraghi, Fatemeh Tavakoli, Mahdiar Ghadiry A New Approach to Model the Effect of Topology on Testing Using Boundary Scan. Search on Bibsonomy J. Electron. Test. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16André V. Fidalgo, Andre Couto, Manuel C. Felgueiras, Gustavo R. Alves Low cost boundary scan controller for didactic applications (IEEE 1149.1). Search on Bibsonomy exp.at The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Terry Borroz Considerations in the design of a boundary scan runtime library. Search on Bibsonomy IEEE Instrum. Meas. Mag. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Hiroyuki Yotsuyanagi, Hiroyuki Makimoto, Takanobu Nimiya, Masaki Hashizume On Detecting Delay Faults Using Time-to-Digital Converter Embedded in Boundary Scan. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Louis Y. Ungar Boundary scan as a system-level diagnostic tool. Search on Bibsonomy IEEE Instrum. Meas. Mag. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Geng-Ming Chiu, James Chien-Mo Li A Secure Test Wrapper Design Against Internal and Boundary Scan Attacks for Embedded Cores. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Bill Eklow Managing Complex Boundary-Scan Operations. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Stephen K. Sunter, Aubin Roy Contactless Test of IC Pads, Pins, and TSVs via Standard Boundary Scan. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16João Carlos Cunha, Ricardo Barbosa 0003, Gilberto Rodrigues On the Use of Boundary Scan for Code Coverage of Critical Embedded Software. Search on Bibsonomy ISSRE The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Chun-Ming Huang, Chih-Chyau Yang, Chien-Ming Wu, Chih-Hsing Lin, Chun-Chieh Chiu, Yi-Jun Liu, Chun-Chieh Chu, Chun-Ping Lin, Wei-De Chien Boundary scan test solution for MorPACK platform. Search on Bibsonomy ISPACS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Hiroyuki Yotsuyanagi, Hiroyuki Makimoto, Masaki Hashizume A Boundary Scan Circuit with Time-to-Digital Converter for Delay Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Stephen K. Sunter, Aubin Roy Adaptive parametric BIST of high-speed parallel I/Os via standard boundary scan. Search on Bibsonomy ITC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Bashar Al-Khalifa A Test Procedure for Boundary Scan Circuitry in PLDs and FPGAs. Search on Bibsonomy Int. Arab J. Inf. Technol. The full citation details ... 2010 DBLP  BibTeX  RDF
16Shengjian Chen, Lei Xu A boundary-scan test bus controller design for mixed-signal test. Search on Bibsonomy WCNIS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Stephen K. Sunter, Matthias Tilmann BIST of I/O circuit parameters via standard boundary scan. Search on Bibsonomy ITC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Ángel Quirós-Olozábal, Ma de los Ángeles Cifredo Chacón A New Algorithm for the Selection of Control Cells in Boundary-Scan Interconnect Test. Search on Bibsonomy J. Electron. Test. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Marcos Barcellos Hervé, Érika F. Cota, Fernanda Lima Kastensmidt, Marcelo Lubaszewski NoC interconnection functional testing: Using boundary-scan to reduce the overall testing time. Search on Bibsonomy LATW The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Adam W. Ley Doing more with less - An IEEE 1149.7 embedded tutorial : Standard for reduced-pin and enhanced-functionality test access port and boundary-scan architecture. Search on Bibsonomy ITC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Chwee Liong Tee, Tzyy Haw Tan, Chin Chuan Ng Augmenting board test coverage with new intel powered opens boundary scan instruction. Search on Bibsonomy ITC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Steve Sunter, Kenneth P. Parker Testing bridges to nowhere - combining Boundary Scan and capacitive sensing. Search on Bibsonomy ITC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Philip B. Geiger, Steve Butkovich Boundary-scan adoption - an industry snapshot with emphasis on the semiconductor industry. Search on Bibsonomy ITC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Dave F. Dubberke, James J. Grealish, Bill Van Dick Solving In-Circuit Defect Coverage Holes with a Novel Boundary Scan Application. Search on Bibsonomy ITC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Dayton Norrgard, Kenneth P. Parker Augmenting Boundary-Scan Tests for Enhanced Defect Coverage. Search on Bibsonomy ITC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Kenneth P. Parker, Neil G. Jacobson Boundary-Scan Testing of Power/Ground Pins. Search on Bibsonomy ITC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Bradford G. Van Treuren, Chen-Huan Chiang, Kenneth Honaker Problems Using Boundary-Scan for Memory Cluster Tests. Search on Bibsonomy ITC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Tapan J. Chakraborty, Chen-Huan Chiang, Bradford G. Van Treuren A practical approach to comprehensive system test & debug using boundary scan based test architecture. Search on Bibsonomy ITC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Ing. M. F. Breeuwsma Forensic imaging of embedded systems using JTAG (boundary-scan). Search on Bibsonomy Digit. Investig. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Brian Foutz, Vivek Chickermane, Bing Li, Harry Linzer, Gary Kunselman Automation of IEEE 1149.6 Boundary Scan Synthesis in an ASIC Methodology. Search on Bibsonomy ATS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Bambang Suparjo, Adam W. Ley, Adam Cron, Heiko Ehrenberg Analog Boundary-Scan Description Language (ABSDL) for Mixed-Signal Board Test. Search on Bibsonomy ITC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Heiko Ehrenberg IEEE P1581 - Getting More Board Test Out of Boundary Scan. Search on Bibsonomy ITC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Ilka Reis, Peter Collins, Marc van Houcke On-line Boundary-Scan Testing in Service of Extended Products. Search on Bibsonomy ITC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Yu-Cheng Fan, Hen-Wai Tsao Boundary Scan Test Scheme for IP Core Identification via Watermarking. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16David Bäckström, Gunnar Carlsson, Erik Larsson Remote boundary-scan system test control for the ATCA standard. Search on Bibsonomy ITC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Peter Collins, Ilka Reis, Mikko Simonen, Marc van Houcke A transparent solution for providing remote wired or wireless communication to board and system level boundary-scan architectures. Search on Bibsonomy ITC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Peter J. Ashenden Boundary Scan Test Standards. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2003 DBLP  BibTeX  RDF
16Hamimi Chemali, Abdelhakim Latoui, Chouki Aktouf An Optical Boundary Scan Cell for On Line Testing of Embedded Systems. Search on Bibsonomy Embedded Systems and Applications The full citation details ... 2003 DBLP  BibTeX  RDF
16Uros Kac, R. Sedevcic, Franc Novak, Anton Biasizzo Linux-based experimental boundary scan environment. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16William Eklow, Richard M. Sedmak, Dan Singletary, Toai Vo Unsafe board states during PC-based boundary-scan testing. Search on Bibsonomy ITC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Alan Albee A practical guide to combining ICT & boundary scan testing. Search on Bibsonomy ITC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Young Kim, Benny Lai, Kenneth P. Parker, Jeff Rearick Frequency detection-based boundary-scan testing of AC coupled nets. Search on Bibsonomy ITC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Shih-Yu Yang, Christos A. Papachristou, Massood Tabib-Azar Improving Bus Test Via IDDT and Boundary Scan. Search on Bibsonomy DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Nuno Cardoso, Carlos Beltrán Almeida, José Carlos da Silva 0001 A system level boundary scan controller board for VME applications [to CERN experiments]. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Robert W. Barr, Chen-Huan Chiang, Edward L. Wallace End-to-end testing for boards and systems using boundary scan. Search on Bibsonomy ITC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Stephen Harrison, Peter Collins, Greg Noeninckx The implementation of IEEE Std 1149.1 boundary scan test strategy within a cellular infrastructure production environment. Search on Bibsonomy ITC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Kenneth P. Parker System issues in boundary-scan board test. Search on Bibsonomy ITC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Michael S. Heutmaker, D. K. Le An architecture for self-test of a wireless communication system using sampled IQ modulation and boundary scan. Search on Bibsonomy IEEE Commun. Mag. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Jongchul Shin, Hyunjin Kim, Sungho Kang 0001 At-Speed Boundary-Scan Interconnect Testing in a Board with Multiple System Clocks. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Adam W. Ley The integration of boundary-scan test methods to a mixed-signal environment. Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Yasunori Sameshima, Tomoo Fukazawa A DFT Methodology for High-Speed MCM Based on Boundary-Scan Techniques. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Amitava Majumdar 0002, Michio Komoda, Tim Ayres Ground Bounce Considerations in DC Parametric Test Generation Using Boundary Scan. Search on Bibsonomy VTS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16T. A. García, Antonio J. Acosta 0001, José L. Huertas, J. M. Mora, J. Ramos Self-Timed Boundary-Scan Cells for Multi-Chip Module Test. Search on Bibsonomy VTS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Chauchin Su, Shung-Won Jeng, Yue-Tsang Chen Boundary scan BIST methodology for reconfigurable systems. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16William J. Hughes III System-Level Boundary-Scan in a Highly Integrated Switch. Search on Bibsonomy ITC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Matthew Boutin, Peter Dziel Application of Boundary Scan in a Fault Tolerant Computer System. Search on Bibsonomy ITC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Keith Lofstrom Early Capture for Boundary Scan Timing Measurements. Search on Bibsonomy ITC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Wuudiann Ke Backplane Interconnect Test in a Boundary-Scan Environment. Search on Bibsonomy ITC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16D. Eugene Wedge, Tom Conner A Roadmap for Boundary-Scan Test Reuse. Search on Bibsonomy ITC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Kuen-Jong Lee, Sheng-Yih Jeng, Tian-Pao Lee A New Architecture for Analog Boundary Scan. Search on Bibsonomy ISCAS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Yoon-Hwa Choi, Chul Kim, Edward Jung Configuring Multiple Boundary Scan Chains for Board Testing. Search on Bibsonomy ISCAS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Douglas Reed, Jason Doege, Antonio Rubio 0001 Improving Board and System Test: A Proposal to Integrate Boundary Scan and IDDQ. Search on Bibsonomy ITC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Gary O'Donnell It's DFT, Boundary Scan and Life Cycle Benefits. Search on Bibsonomy ITC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Lee Whetsel Improved Boundary Scan Design. Search on Bibsonomy ITC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Theodoros Antonakopoulos 0001, Nick Kanopoulos Multiple boundary scan-paths for minimizing circuit-board test-application time. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Chauchin Su Random Testing of Interconnects in A Boundary Scan Environment. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 306 (100 per page; Change: )
Pages: [<<][1][2][3][4][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license