The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for clocking with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1957-1987 (16) 1988-1992 (17) 1993-1995 (35) 1996-1997 (27) 1998-1999 (36) 2000 (16) 2001 (20) 2002 (21) 2003 (33) 2004 (32) 2005 (44) 2006 (42) 2007 (42) 2008 (34) 2009 (29) 2010 (17) 2011-2012 (33) 2013-2014 (34) 2015 (22) 2016-2017 (26) 2018 (20) 2019 (16) 2020-2021 (26) 2022 (21) 2023-2024 (14)
Publication types (Num. hits)
article(213) incollection(3) inproceedings(452) phdthesis(5)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 371 occurrences of 231 keywords

Results
Found 673 publication records. Showing 673 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
28Naveed Zaman, Antony Spilman Triggering and clocking architecture for mixed signal test. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
28Hong-Yean Hsieh, Wentai Liu, Paul D. Franzon, Ralph K. Cavin III Clocking Optimization and Distribution in Digital Systems with Scheduled Skews. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
28Michel R. Dagenais, Nicholas C. Rumin On the calculation of optimal clocking parameters in synchronous circuits with level-sensitive latches. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
21Faizal Karim, Marco Ottavi, Hamidreza Hashempour, Vamsi Vankamamidi, Konrad Walus, André Ivanov, Fabrizio Lombardi Modeling and Evaluating Errors Due to Random Clock Shifts in Quantum-Dot Cellular Automata Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Quantum-dot cellular automata (QCA), Clocked QCA, Emerging nanotechnologies, Phase shift
21Charles Augustine, Behtash Behin-Aein, Xuanyao Fong, Kaushik Roy 0001 A design methodology and device/circuit/architecture compatible simulation framework for low-power magnetic quantum cellular automata systems. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Jungseob Lee, Nam Sung Kim Optimizing total power of many-core processors considering voltage scaling limit and process variations. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF voltage and frequency scaling, process variations, parallel applications, many-core processor
21Feng Qian 0001, Alexandre Gerber, Zhuoqing Morley Mao, Subhabrata Sen, Oliver Spatscheck, Walter Willinger TCP revisited: a fresh look at TCP in the wild. Search on Bibsonomy Internet Measurement Conference The full citation details ... 2009 DBLP  DOI  BibTeX  RDF network measurement, tcp
21Myungsu Choi, Minsu Choi Scalability of Globally Asynchronous QCA (Quantum-Dot Cellular Automata) Adder Design. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF QCA (quantum-dot cellular automata), Asynchronous architecture, Layout timing problem, Scalability, Robustness
21Steve Babbage, Matthew Dodd The MICKEY Stream Ciphers. Search on Bibsonomy The eSTREAM Finalists The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Mayur Bubna, Sudip Roy 0002, Naresh Shenoy, Subhra Mazumdar 0002 A layout-aware physical design method for constructing feasible QCA circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF routing, partitioning, placement, quantum-dot cellular automata
21Alan Kennedy, Xiaojun Wang 0001, Zhen Liu 0018, Bin Liu 0001 Low power architecture for high speed packet classification. Search on Bibsonomy ANCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF energy efficient, hardware accelerator, packet classification, frequency scaling
21Mohammad Azim Karami, Ali Afzali-Kusha, Reza Faraji-Dana, Masoud Rostami Quantitative Comparison of Optical and Electrical H, X, and Y clock Distribution Networks. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Tobias Bjerregaard, Mikkel Bystrup Stensgaard, Jens Sparsø A scalable, timing-safe, network-on-chip architecture with an integrated clock distribution method. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Lei Cheng 0001, Deming Chen, Martin D. F. Wong, Mike Hutton, Jason Govig Timing constraint-driven technology mapping for FPGAs considering false paths and multi-clock domains. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Eric L. Hill, Mikko H. Lipasti Transparent mode flip-flops for collapsible pipelines. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Vishwanadh Tirumalashetty, Hamid Mahmoodi Clock Gating and Negative Edge Triggering for Energy Recovery Clock. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Sanghoan Chang, Gwan Choi Gate-Level Exception Handling Design for Noise Reduction in High-Speed VLSI Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Ruiming Chen, Hai Zhou 0001 Statistical timing verification for transparently latched circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Eric L. Hill, Mikko H. Lipasti Stall cycle redistribution in a transparent fetch pipeline. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF pipeline gating, microarchitecture, dynamic power, instruction fetch
21Pong-Fei Lu, Nianzheng Cao, Leon J. Sigal, Pieter Woltgens, Raphael Robertazzi, David F. Heidel A pulsed low-voltage swing latch for reduced power dissipation in high-frequency microprocessors. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF pulse latch, low-power, latch
21Pyung-Su Han, Woo-Young Choi 1.25/2.5-Gb/s burst-mode clock recovery circuit with a novel dual bit-rate structure in 0.18µm CMOS. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Minsu Choi, Myungsu Choi, Zachary D. Patitz, Nohpill Park Efficient and Robust Delay-Insensitive QCA (Quantum-Dot Cellular Automata) Design. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Liam Noonan, Colin Flanagan An effective network processor design framework: using multi-objective evolutionary algorithms and object oriented techniques to optimise the intel IXP1200 network processor. Search on Bibsonomy ANCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF object oriented, design space exploration, evolutionary approaches
21Aiqun Cao, Naran Sirisantana, Cheng-Kok Koh, Kaushik Roy 0001 Synthesis of skewed logic circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Skewed logic, optimization, synthesis, power
21Marcin Gomulkiewicz, Miroslaw Kutylowski, Heinrich Theodor Vierhaus, Pawel Wlaz Synchronization Fault Cryptanalysis for Breaking A5/1. Search on Bibsonomy WEA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Matthew Cooke, Hamid Mahmoodi-Meimand, Qikai Chen, Kaushik Roy 0001 Energy recovery clocked dynamic logic. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF logic, clock, domino, energy recovery
21Bill Pontikakis, François R. Boyer, Yvon Savaria Performance Improvement of Configurable Processor Architectures Using a Variable Clock Period. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Juang-Ying Chueh, Conrad H. Ziesler, Marios C. Papaefthymiou Experimental Evaluation of Resonant Clock Distribution. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Ruiming Chen, Hai Zhou 0001 Clock schedule verification under process variations. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Saraju P. Mohanty, N. Ranganathan, Sunil K. Chappidi An ILP-based scheduling scheme for energy efficient high performance datapath synthesis. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Bhargab B. Bhattacharya, Sharad C. Seth, Sheng Zhang 0008 Double-Tree Scan: A Novel Low-Power Scan-Path Architecture. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Saraju P. Mohanty, N. Ranganathan Energy Efficient Scheduling for Datapath Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Yongjian Brandon Guo, K. Wayne Current Voltage Comparator Circuits for Multiple-Valued CMOS Logic. Search on Bibsonomy ISMVL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF voltage comparator, MVL, low-power, CMOS
21Aiqun Cao, Naran Sirisantana, Cheng-Kok Koh, Kaushik Roy 0001 Synthesis of Selectively Clocked Skewed Logic Circuits. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Stefan Lund, Lars Bengtsson Synchronizing a High-Speed SIMD Processor Array. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Mohit Aron, Peter Druschel Soft timers: efficient microsecond software timer support for network processing. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF polling, timers, transmission scheduling
21Mauro Olivieri, Alessandro Trifiletti, Alessandro De Gloria A Low-Power Microcontroller with on-Chip Self-Tuning Digital Clock-Generator for Variable-Load Applications. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Eduardo I. Boemo, Sergio López-Buedo, Juan M. Meneses Some experiments about wave pipelining on FPGA's. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Kenneth J. Janik, Shih-Lien Lu, Michael F. Miller Advances of the Counterflow Pipeline Microarchitecture. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF counterflow, CFPP, virtual register, architecture, pipeline, dataflow, VRP
21Glenn Jennings, Esther Jennings A discrete syntax for level-sensitive latched circuits having n clocks and m phases. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21William K. C. Lam, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli Valid clock frequencies and their computation in wavepipelined circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
19Sassan Tabatabaei, Aaron Partridge Silicon MEMS Oscillators for High-Speed Digital Systems. Search on Bibsonomy IEEE Micro The full citation details ... 2010 DBLP  DOI  BibTeX  RDF silicon oscillator, microelectromechanical systems, MEMS resonator, MEMS packaging, serial interfaces, hardware, clock, oscillator, digital clocking
19Vinayak Honkote, Baris Taskin Analysis, Design and Simulation of Capacitive Load Balanced Rotary Oscillatory Array. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Resonant clocking, Capacitive load balancing, Optimization, Low power, Spice
19Qiang Wang, Subodh Gupta, Jason Helge Anderson Clock power reduction for virtex-5 FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optimization, field-programmable gate arrays, fpgas, low-power design, power, clocking
19Martin Saint-Laurent, Baker Mohammad, Paul Bassett A 65-nm pulsed latch with a single clocked transistor. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low voltage swing, minimum clock power, pulsed latch, virtual-ground clocking
19Jovan Dj. Golic, Renato Menicocci Correlation Analysis of the Alternating Step Generator. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF stop/go clocking, stream ciphers, fast correlation attacks, time-varying channels
19Frank O'Mahony, C. Patrick Yue, Mark Horowitz, S. Simon Wong Design of a 10GHz clock distribution network using coupled standing-wave oscillators. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF distributed oscillators, on-chip phase measurement, resonant clocking, salphasic, standing wave, clock distribution, coupled oscillators
19James W. Tschanz, Siva G. Narendra, Zhanping Chen, Shekhar Borkar, Manoj Sachdev, Vivek De Comparative delay and energy of single edge-triggered & dual edge-triggered pulsed flip-flops for high-performance microprocessors. Search on Bibsonomy ISLPED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF dual edge, low power, flip-flops, clocking, triggered, latches
19Alexander T. Ishii, Charles E. Leiserson, Marios C. Papaefthymiou Optimizing two-phase, level-clocked circuitry. Search on Bibsonomy J. ACM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF clock tuning, level-clocked circuitry, multiphase clocking, timing analysis and optimization, VLSI, retiming
19Mohamed Soufi, Steve Rochon, Yvon Savaria, Bozena Kaminska Design and performance of CMOS TSPC cells for high speed pseudo random testing. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF CMOS TSPC cells, high speed pseudo random testing, built-in self-test scheme, HSpice simulations, functionally equivalent logic block, true single phase clocking, logic testing, built-in self test, integrated circuit testing, logic CAD, layout, circuit analysis computing, clocks, circuit layout CAD, CMOS logic circuits, SPICE, cellular arrays, integrated circuit layout, test methodology, untestable faults, netlists
19Gianfranco Ciardo, Christoph Lindemann Comments on "Analysis of Self-Stabilizing Clock Synchronization by Means of Stochastic Petri Nets". Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF self-stabilizing clock synchronization, deterministic and stochastic Petri net, fault-tolerant clock synchronisation systems, clocking modules, software package DSPNexpress, performance evaluation, Petri nets, fault tolerant computing, synchronisation, stochastic processes, stochastic Petri nets, steady-state analysis
18Sastry Garimella, Sasank Garikapati, Aravind Nagulu, Harish Krishnaswamy Passive Frequency Shifting of N-Path Filters Through Rotary Clocking: Analysis and Design. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
18Robert S. Aviles, Xi Li, Lei Lu, Zhaorui Ni, Peter A. Beerel An Efficient and Scalable Clocking Assignment Algorithm for Multi-Threaded Multi-Phase Single Flux Quantum Circuits. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
18Rassul Bairamkulov, Giovanni De Micheli Towards Multiphase Clocking in Single-Flux Quantum Systems. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
18Jaehyeok Yang, Hyeongjun Ko, Kyunghoon Kim, Hyunsu Park, Jihwan Park, Ji-Hyo Kang, Jin-Youp Cha, Seongjin Kim, Youngtaek Kim, Minsoo Park, Gangsik Lee, Keonho Lee, Sanghoon Lee, Gyunam Jeon, Sera Jeong, Yongsuk Joo, Jaehoon Cha, Seonwoo Hwang, Boram Kim, Sang-Yeon Byeon, Sungkwon Lee, Hyeonyeol Park, Joohwan Cho, Jonghwan Kim 13.1 A 35.4Gb/s/pin 16Gb GDDR7 with a Low-Power Clocking Architecture and PAM3 IO Circuitry. Search on Bibsonomy ISSCC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
18Ahmad Sharkia, Shahriar Mirabbasi, Sudip Shekhar A Serrodyne Modulator-Based Fractional Frequency Synthesis Technique for Low-Noise, GHz-Rate Clocking. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Zhaowen Wang, Peter R. Kinget A Very High Linearity Twin Phase Interpolator With a Low-Noise and Wideband Delta Quadrature DLL for High-Speed Data Link Clocking. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Johannes Bund, Matthias Függer, Moti Medina PALS: Distributed Gradient Clocking on Chip. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Dhandeep Challagundla, Ignatius Bezzam, Riadul Islam Design Automation of Series Resonance Clocking in 14-nm FinFETs. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Ankit Wagle, Jinghua Yang, Niranjan Kulkarni, Sarma B. K. Vrudhula A New Approach to Clock Skewing for Area and Power Optimization of ASICs Using Differential Flipflops and Local Clocking. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Johannes Bund, Matthias Függer, Moti Medina PALS: Distributed Gradient Clocking on Chip. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Michael A Campbell, Ben Hughes, James Blanchard, Jonathan Heaps Frequency Scanning Interferometry and K-space Clocking with Dispersion Compensating Fibre. Search on Bibsonomy I2MTC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Pedro Arthur R. L. Silva, Jeferson F. Chaves, José Augusto Miranda Nacif, Ricardo S. Ferreira 0001, Omar Paranaiba Vilela Neto Exploring Nanomagnetic Logic with Bennett Clocking. Search on Bibsonomy SBCCI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Rongliang Fu, Olivia Chen, Bei Yu 0001, Nobuyuki Yoshikawa, Tsung-Yi Ho DLPlace: A Delay-Line Clocking-Based Placement Framework for AQFP Circuits. Search on Bibsonomy ICCAD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Krzysztof Kasinski, Dariusz Ziolkowski, Pawel Banachowicz, Joanna Iwanicka Successful Selection of the SoC Clocking Architecture. Search on Bibsonomy MIXDES The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Jihyo Kang, Jaehyeok Yang, Kyunghoon Kim, Joo-Hyung Chae, Gang-Sik Lee, Sang-Yeon Byeon, Boram Kim, Dong-Hyun Kim, Youngtaek Kim, Yeongmuk Cho, Junghwan Ji, Sera Jeong, Jaehoon Cha, Minsoo Park, Hongdeuk Kim, Sijun Park, Sunho Kim, Hae-Kang Jung, Jieun Jang, Sangkwon Lee, Hyungsoo Kim, Joo-Hwan Cho, Junhyun Chun, Seon-Yong Cha A 24-Gb/s/Pin 8-Gb GDDR6 With a Half-Rate Daisy-Chain-Based Clocking Architecture and I/O Circuitry for Low-Noise Operation. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Chi-Hsiang Huang 0001, Yidong Chen, Xun Sun, Arindam Mandal, Venkata Rajesh Pamula 0001, Nasser A. Kurd, Visvesh S. Sathe 0001 Improving SIMO-Regulated Digital SoC Energy Efficiencies Through Adaptive Clocking and Concurrent Domain Control. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Xiangye Wei, Liming Xiu A New Perspective of Flexible Clocking Ideology for Driving and Devising Circuits in Emerging Resource-Constrained Applications. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18 CORRIGENDUM Systematic Cell placement in Quantum-dot Cellular Automata Embedding Underlying Regular Clocking Circuit. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Yongqiang Zhang 0006, Chunsong Zhu, Xin Cheng 0001, Guangjun Xie Design and Implementation of SRAM for LUT and CLB Using Clocking Mechanism in Quantum-Dot Cellular Automata. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Dhrubajyoti Bhowmik, Amit Kumar Pramanik, Jayanta Pal, Pinaki Sen, Ayush Ranjan Singh, Apu Kumar Saha, Bibhash Sen Regular clocking-based Automated Cell Placement technique in QCA targeting sequential circuit. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Amit Kumar Pramanik, Dhrubajyoti Bhowmik, Jayanta Pal, Pinaki Sen, Apu Kumar Saha, Bibhash Sen Towards the realization of regular clocking-based QCA circuits using genetic algorithm. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Ismail Gassoumi, Lamjed Touil, Abdellatif Mtibaa Design of efficient binary-coded decimal adder in QCA technology with a regular clocking scheme. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Feifei Deng, Guangjun Xie, Yongqiang Zhang 0006, Song Chen Module-based design method using clocking scheme for quantum-dot cellular automata. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Feifei Deng, Guangjun Xie, Xin Cheng 0001, Yongqiang Zhang 0006 A general and efficient clocking scheme for majority logic in quantum-dot cellular automata. Search on Bibsonomy Microelectron. J. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Taiki Yamae, Naoki Takeuchi, Nobuyuki Yoshikawa Adiabatic Quantum-Flux-Parametron with Delay-Line Clocking Using Square Excitation Currents. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Denis Szymon Piechaczek, Olaf Schrey, Manuel Ligges, Bedrich J. Hosticka, Rainer Kokozinski Anti-Blooming Clocking for Time-Delay Integration CCDs. Search on Bibsonomy Sensors The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Xi Li, Min Pan, Tong Liu, Peter A. Beerel Multi-Phase Clocking for Multi-Threaded Gate-Level-Pipelined Superconductive Logic. Search on Bibsonomy ISVLSI The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Amit Kumar Pramanik, Jayanta Pal, Bibhash Sen Impact of Genetic Algorithm on Low Power QCA Logic Circuit with Regular Clocking. Search on Bibsonomy ASCAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Jonah Van Assche, Georges G. E. Gielen A 10.4-ENOB 0.92-5.38 μW Event-Driven Level-Crossing ADC with Adaptive Clocking for Time-Sparse Edge Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Aida Varzaghani, Bardia Bozorgzadeh, Jack Lam, Ankush Goel, Xiaobin Yuan, Mohamed Elzeftawi, Mehran Izad, Sudipta Sarkar, Alberto Baldisserotto, Seong-Ryong Ryu, Steven Mikes, Jeffrey Hwang, Varun Joshi, Shahrzad Naraghi, Darshan Kadia, Mohammad Ranjbar, Paul Lee, Dimitri Loizos, Sotirios Zogopoulos, Shwetabh Verma, Stefanos Sidiropoulos A 1-to-112Gb/s DSP-Based Wireline Transceiver with a Flexible Clocking Scheme in 5nm FinFET. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Steven Hsu, Amit Agarwal 0001, Mark A. Anders 0001, Arnab Raha, Raymond Sung, Deepak Mathaikutty, Ram Krishnamurthy 0001, James W. Tschanz, Vivek De 2.4GHz, Double-Buffered, 4kb Standard-Cell-Based Register File with Low-Power Mixed-Frequency Clocking for Machine Learning Accelerators. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Dokyung Lim, Sounghun Shin, Seungmin Lee, Kihyun Kwon, Jeongmin An, Wonsik Yu, Chanyoung Jeong, WooSeok Kim, Michael Choi, Jongshin Shin Clock Generator with IS026262 ASIL-D Grade Safety Mechanism for SoC Clocking Application. Search on Bibsonomy ISSCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Loai G. Salem, M. Mahmudul Hasan Sajeeb A Multilevel N-Path Filter Topology for Low-Power Sinusoidal Clocking with Non-Overlapping Phases. Search on Bibsonomy ISCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Aniruddha Roy, Preetham N. Reddy, Nitin Agarwal, Nikhil Das Achieving < 1% Precision Clocking Solution with External-R under Practical Constraints. Search on Bibsonomy APCCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Amit Kumar Pramanik, Jayanta Pal, Biplab K. Sikdar, Bibhash Sen Performance Analysis of Regular Clocking Based Quantum-Dot Cellular Automata Logic Circuit: Fault Tolerant Approach. Search on Bibsonomy ACRI The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Eric Groen, Charlie Boecker, Masum Hossain, Roxanne Vu, Socrates D. Vamvakos, Haidang Lin, Simon Li, Marcus van Ierssel, Prashant Choudhary, Nanyan Wang, Masumi Shibata, Mohammad Hossein Taghavi, Kulwant Brar, Nhat Nguyen, Shaishav Desai 10-to-112-Gb/s DSP-DAC-Based Transmitter in 7-nm FinFET With Flex Clocking Architecture. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Dhrubajyoti Bhowmik, Jayanta Pal, Mrinal Goswami, Pinaki Sen, Apu Kumar Saha, Bibhash Sen Systematic cell placement in quantum-dot cellular automata embedding underlying regular clocking circuit. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Xin Fan 0002, Milan Babic, Shutao Zhang, Eckhard Grass, Milos Krstic Plesiochronous Spread Spectrum Clocking With Guaranteed QoS for In-Band Switching Noise Reduction. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Benjamin P. Hershberg, Barend van Liempd, Nereo Markulic, Jorge Lagos 0001, Ewout Martens, Davide Dermit, Jan Craninckx Asynchronous Event-Driven Clocking and Control in Pipelined ADCs. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Taiki Yamae, Naoki Takeuchi, Nobuyuki Yoshikawa Adiabatic quantum-flux-parametron with delay-line clocking: logic gate demonstration and phase skipping operation. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
18Rasa Smidtaite, Zenonas Navickas, Minvydas Ragulskis Clocking divergence of iterative maps of matrices. Search on Bibsonomy Commun. Nonlinear Sci. Numer. Simul. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Yan Li 0084, Jun Han 0003, Xiaoyang Zeng, Mehdi B. Tahoori TRIGON: A Single-phase-clocking Low Power Hardened Flip-Flop with Tolerance to Double-Node-Upset for Harsh Environments Applications. Search on Bibsonomy DATE The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Irith Pomeranz Positive and Negative Extra Clocking of LFSR Seeds for Reduced Numbers of Stored Tests. Search on Bibsonomy ATS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Ping Lu A 25.6-27.5GHz Phase-Locked Loop for SerDes Transceiver Clocking in 5nm FinFET. Search on Bibsonomy NorCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Kyunghoon Kim, Joo-Hyung Chae, Jaehyeok Yang, Jihyo Kang, Gang-Sik Lee, Sang-Yeon Byeon, Youngtaek Kim, Boram Kim, Dong-Hyun Kim, Yeongmuk Cho, Kangmoo Choi, Hyeongyeol Park, Junghwan Ji, Sera Jeong, Yongsuk Joo, Jaehoon Cha, Minsoo Park, Hongdeuk Kim, Sijun Park, Kyubong Kong, Sunho Kim, Sangkwon Lee, Junhyun Chun, Hyungsoo Kim, Seon-Yong Cha A 24Gb/s/pin 8Gb GDDR6 with a Half-Rate Daisy-Chain-Based Clocking Architecture and IO Circuitry for Low-Noise Operation. Search on Bibsonomy ISSCC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Chi-Hsiang Huang 0001, Xun Sun, Yidong Chen, Rajesh Pamula 0001, Arindam Mandal, Visvesh Sathe 0001 A Single-Inductor 4-Output SoC with Dynamic Droop Allocation and Adaptive Clocking for Enhanced Performance and Energy Efficiency in 65nm CMOS. Search on Bibsonomy ISSCC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Ping-Hsuan Hsieh, Mingoo Seok, Keith A. Bowman Session 29 Overview: Digital Circuits for Computing, Clocking and Power Management DIGITAL CIRCUITS SUBCOMMITTEE. Search on Bibsonomy ISSCC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Dongin Kim, SeongHwan Cho An Adaptive Clocking System using Supply Tracking Clock Modulator with Background Calibrated Supply-Sensitivity in 28nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Weiwei Shan, Wentao Dai, Liang Wan, Minyi Lu, Longxing Shi, Mingoo Seok, Jun Yang 0006 A Bi-Directional, Zero-Latency Adaptive Clocking Circuit in a 28-nm Wide AVFS System. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 673 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license