The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for fabrication with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1960-1968 (16) 1972-1984 (21) 1985-1986 (16) 1987-1988 (20) 1989 (18) 1990-1991 (26) 1992-1993 (23) 1994-1995 (33) 1996 (19) 1997 (29) 1998 (32) 1999 (62) 2000 (53) 2001 (73) 2002 (70) 2003 (113) 2004 (133) 2005 (172) 2006 (185) 2007 (204) 2008 (230) 2009 (184) 2010 (126) 2011 (131) 2012 (151) 2013 (179) 2014 (161) 2015 (170) 2016 (223) 2017 (241) 2018 (261) 2019 (245) 2020 (213) 2021 (225) 2022 (223) 2023 (245) 2024 (31)
Publication types (Num. hits)
article(1661) book(6) data(1) incollection(18) inproceedings(2730) phdthesis(131) proceedings(10)
Venues (Conferences, Journals, ...)
Sensors(300) NEMS(224) SCF(121) CoRR(98) ICRA(89) WSC(84) IEEE Access(62) IROS(62) Microelectron. J.(62) IEEE SENSORS(60) IEICE Trans. Electron.(60) CHI(54) EMBC(54) MHS(53) IEEE Trans. Comput. Aided Des....(50) Int. J. Autom. Technol.(49) More (+10 of total 923)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1097 occurrences of 742 keywords

Results
Found 4557 publication records. Showing 4557 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
22Stefan Pillwein, Johanna Kübert, Florian Rist, Przemyslaw Musialski Design and Fabrication of Elastic Geodesic Grid Structures. Search on Bibsonomy SCF The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
22Mitchell Hsing Design, fabrication, and characterization of a compact magnetron sputtering system for micro/nano fabrication. Search on Bibsonomy 2019   RDF
22Andrei Jipa, Federico Giacomarra, Rena Giesecke, Georgia Chousou, Matteo Pacher, Benjamin Dillenburger, Matteo Lomaglio, Matthias Leschok 3D-printed formwork for bespoke concrete stairs: from computational design to digital fabrication. Search on Bibsonomy SCF The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Maria Larsson, Hironori Yoshida, Takeo Igarashi Human-in-the-loop fabrication of 3D surfaces with natural tree branches. Search on Bibsonomy SCF The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Rahul Arora 0001, Alec Jacobson, Timothy R. Langlois, Yijiang Huang, Caitlin Tobin Mueller, Wojciech Matusik, Ariel Shamir, Karan Singh, David I. W. Levin Volumetric Michell trusses for parametric design & fabrication. Search on Bibsonomy SCF The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Takashi Totsuka, Yuichiro Kinoshita, Shota Shiraga, Kentaro Go Impression-based Fabrication: A Framework to Reflect Personal Preferences in the Fabrication Process. Search on Bibsonomy IUI Companion The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
22Ayelet Karmon, Yoav Sterman, Tom Shaked, Eyal Sheffer, Shoval Nir KNITIT: a computational tool for design, simulation, and fabrication of multiple structured knits. Search on Bibsonomy SCF The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
22Jeeeun Kim, Clement Zheng, Haruki Takahashi, Mark D. Gross, Daniel Ashbrook, Tom Yeh Compositional 3D printing: expanding & supporting workflows towards continuous fabrication. Search on Bibsonomy SCF The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
22Ella Moore, Michael Porter, Ioannis Karamouzas, Victor B. Zordan Precision control of tensile properties in fabric for computational fabrication. Search on Bibsonomy SCF The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
22Xiang Chen Making Fabrication Real: Fabrication for Real Usage, with Real Objects, by Real People. Search on Bibsonomy 2017   DOI  RDF
22Jérémie Dumas Controllable shape synthesis for digital fabrication. (Synthèse de formes contrôlable pour la fabrication digitale). Search on Bibsonomy 2017   RDF
22Mian Wei, Karan Singh Bend-a-rule: a fabrication-based workflow for 3D planar contour acquisition. Search on Bibsonomy SCF The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
22Gregory Dreifus, Ben Rapone, John Bowers, Xiang Chen, A. John Hart, Bala Krishnamoorthy A framework for tool path optimization in fused filament fabrication. Search on Bibsonomy SCF The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
22Thijs Roumen, Bastian Kruck, Tobias Dürschmid, Tobias Nack, Patrick Baudisch Mobile fabrication: connect to the environment. Search on Bibsonomy SCF The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
22Jouke C. Verlinden, Anne Bekker Architecture through the looking glass: augmenting fabrication in the built environment. Search on Bibsonomy SCF The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
22Alexandria K. Hansen, Eric R. Hansen, Taylor Hall, Mack Fixler, Danielle Harlow Fidgeting with Fabrication: Students with ADHD Making Tools to Focus. Search on Bibsonomy FabLearn The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
22Rachel Charlotte Smith, Ole Sejer Iversen, Rune Veerasawmy Impediments to Digital Fabrication in Education: A Study of Teachers' Role in Digital Fabrication. Search on Bibsonomy Int. J. Digit. Lit. Digit. Competence The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
22Anderson Luis Szejka Contribution to interoperable products design and manufacturing information: application to plastic injection products manufacturing. (Contrinution à l'interopérabilité des informations de conception et de fabrication de produits : application à la fabrication par injection de produits plastiques). Search on Bibsonomy 2016   RDF
22Mikkel Hjorth, Rachel Charlotte Smith, Daria Loi, Ole Sejer Iversen, Kasper Skov Christensen Educating the Reflective Educator: Design Processes and Digital Fabrication for the Classroom. Search on Bibsonomy FabLearn The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
22Asla Medeiros e Sá, Karina Rodriguez-Echavarria, Nico Pietroni, Paolo Cignoni State of The Art on Functional Fabrication. Search on Bibsonomy GraDiFab@Eurographics The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
22Yunhong Ding, Haiyan Ou, Christophe Peucheret Wide-band polarization splitter and rotator with large fabrication tolerance and simple fabrication process. Search on Bibsonomy OFC/NFOEC The full citation details ... 2013 DBLP  BibTeX  RDF
22Hideaki Ogawa, Martina Mara, Christopher Lindinger, Matthew Gardiner, Roland Haring, David Stolarsky, Emiko Ogawa, Horst Hörtner Shadowgram: a case study for social fabrication through interactive fabrication in public spaces. Search on Bibsonomy TEI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
22Karl D. D. Willis, Cheng Xu, Kuan-Ju Wu, Golan Levin, Mark D. Gross Interactive fabrication: new interfaces for digital fabrication. Search on Bibsonomy TEI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Lina Sun, T. Ming-Hung Lee, Zhenchuan Yang, Guizhen Yan Fabrication of Sub-100-nm silicon nanowire devices on SOI wafer by CMOS compatible fabrication process. Search on Bibsonomy NEMS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
22Jérôme Goy Etude, conception, et réalisation d'un capteur d'image APS en technologie standard CMOS pour des applications faible flux de type viseur d'étoiles = Study, conception and fabrication of an APS image sensor in standard CMOS technology for low light level applications such as star trackers. (Study, conception and fabrication of an APS image sensor in standard CMOS technology for low light level applications such as star trackers). Search on Bibsonomy 2002   RDF
22Omkaram Nalamasu, Pat G. Watson, Raymond A. Cirelli, Jeff Bude, Isik C. Kizilyalli, Ross A. Kohler Invited Paper: Extending Resolution Limits of IC Fabrication Technology: Demonstration by Device Fabrication and Circuit Performance. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Alex Baumgarten, Akhilesh Tyagi, Joseph Zambreno Preventing IC Piracy Using Reconfigurable Logic Barriers. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF hardware metering, IC fabrication, IC piracy, IC security, reconfigurable-logic barriers, selection heuristics, design and test
20Alexander A. Pasko, Turlif Vilbrandt, Oleg Fryazinov, Valery Adzhiev Procedural Function-Based Spatial Microstructures. Search on Bibsonomy Shape Modeling International The full citation details ... 2010 DBLP  DOI  BibTeX  RDF FRep, digital fabrication, lattice, scaffold, porous media, tissue engineering, microstructure
20Shu-Hsing Chung, I. P. Chung, Y. T. Tai, Y. M. Chu The Design of Outsourcing Planning for Semiconductor Backend Turnkey Service. Search on Bibsonomy NCM (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Semiconductor backend turnkey service (SBTS), wafer fabrication, outsourcing
20Pierre Salverda, Charles Tucker, Craig B. Zilles Accurate critical path prediction via random trace construction. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF instruction criticality, trace fabrication, profiling
20Gabriel Schulhof, Konrad Walus, Graham A. Jullien Simulation of random cell displacements in QCA. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fabrication variances, fault tolerance, QCA, Quantum-dot cellular automata
20Scott Davidson 0001 Losing control. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF direct control, testing, debugging, fabrication, symptoms
20John Rieffel, Jordan B. Pollack Automated assembly as situated development: using artificial ontogenies to evolve buildable 3-D objects. Search on Bibsonomy GECCO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF assembly, evolutionary design, fabrication, artificial ontogeny
20Jay Vleeschhouwer, Warren East, Michael J. Fister, Aart J. de Geus, Walden C. Rhines, Jackson Hu, Rick Cassidy Differentiate and deliver: leveraging your partners. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF EDS, semiconductor fabrication, supplier-customer relationships, intellectual property, processors
20Naren Kodali, Csilla Farkas, Duminda Wijesekera Creating non-decomposable stream bundles in multimedia web services using uSMIL. Search on Bibsonomy SWS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF evidence fabrication, synchronized multimedia, semantics, integrity
20David Hung-Chang Du, Ichiang Lin, K. C. Chang 0001 On Wafer-Packing Problems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF wafer-packing problems, multiple chip designs, fabrication cost, VLSI, NP-hard, heuristic algorithms, VLSI design, multichip modules, polynomial-time solvable
20Adit D. Singh, Hee Yong Youn A Modular Fault-Tolerant Binary Tree Architecture with Short Links. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF binary tree architecture, operational faults, fabrication defects, board level multichip designs, SOFT approach, fault-tolerant, VLSI, fault tolerant computing, computer architecture
20Herman Lam, Chiang Lee, Stanley Y. W. Su A Special Function Unit for Database Operations (SFU-DB): Design and Performance Evaluation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF special function unit, SFU-DB, hardware sorting module, automatic retrieval memory, nonnumeric operations, host CPU, special processing unit, distribution-based sort algorithm, main memory size, fundamental sort algorithm, CMOS gate arrays, performance evaluation, performance evaluation, parallel algorithms, computational complexity, database management systems, sorting, execution time, coprocessor, special purpose computers, fabrication, worst case complexity, Turbo Pascal, parallel processing system, duplicates elimination, database operations, set intersection, set union, set difference, relational join
20Sun-Yuan Kung, Shiann-Ning Jean, Chih-Wei Jim Chang Fault-Tolerant Array Processors Using Single-Track Switches. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF fabrication time processing, fault tolerant array processors, single-track switches, array grid model, reconfigurability theorem, run-time processing, propagation time, parallel processing, graph theory, graph theory, fault tolerant computing, yield enhancement
18Daisaku Azuma, Jameson Lee, Keisuke Narumi, Fumihito Arai Fabrication and feedback control of an articulated microarm. Search on Bibsonomy ICRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Masaki Ito, Masahiro Nakajima, Hisataka Maruyama, Toshio Fukuda On-chip fabrication and assembly of rotational microstructures. Search on Bibsonomy IROS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Parasar Kodati, Jonathan Hinkle, A. Winn, Xinyan Deng Microautonomous Robotic Ostraciiform (MARCO): Hydrodynamics, Design, and Fabrication. Search on Bibsonomy IEEE Trans. Robotics The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18XingLong Guo, Yan Jin, Lei Liu, WeiXia Ouyang, ZongSheng Lai Design and fabrication of miniature antenna based on silicon substrate for wireless communications. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF miniature antenna, high-resistivity silicon (HR-Si), IC process
18Michele E. Pfund, Hari Balasubramanian, John W. Fowler, Scott J. Mason, Oliver Rose A multi-criteria approach for scheduling semiconductor wafer fabrication facilities. Search on Bibsonomy J. Sched. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Shifting bottleneck, Complex job shop, Multicriteria
18Han Zhang, Etienne Burdet, Aun Neow Poo, Dietmar Werner Hutmacher Microassembly Fabrication of Tissue Engineering Scaffolds With Customized Design. Search on Bibsonomy IEEE Trans Autom. Sci. Eng. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Kyu-Jin Cho, Elliot Wright Hawkes, Chris Quinn, Robert J. Wood Design, fabrication and analysis of a body-caudal fin propulsion system for a microrobotic fish. Search on Bibsonomy ICRA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Hisataka Maruyama, Fumihito Arai, Toshio Fukuda Fabrication of functional gel-microbead for local environment measurement in microchip. Search on Bibsonomy ICRA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Norihiro Kamamichi, Toshiharu Maeba, Masaki Yamakita, Toshiharu Mukai Fabrication of bucky gel actuator/sensor devices based on printing method. Search on Bibsonomy IROS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Horst Zisgen, Ingo Meents, Benjamin R. Wheeler, Thomas Hanschke A queueing network based system to model capacity and cycle time for semiconductor fabrication. Search on Bibsonomy WSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Amit Kumar Gupta, Peter Lendermann, Appa Iyer Sivakumar, John Priyadi Simulation analysis of cluster tool operations in wafer fabrication. Search on Bibsonomy WSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Jens Zimmermann, Scott J. Mason, John W. Fowler, Lars Mönch Determining an appropriate number of FOUPs in semiconductor wafer fabrication facilities. Search on Bibsonomy WSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Wen-Chih Chen, Chen-Fu Chien, Ming-Hsuan Chou Economic efficiency analysis of wafer fabrication facilities. Search on Bibsonomy WSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18June-Young Bang, Jae-Hun Kang, Bong-Kyun Kim, Yeong-Dae Kim Multi-product lot merging/splitting algorithms for a semiconductor wafer fabrication. Search on Bibsonomy WSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Sugato Bagchi, Ching-Hua Chen-Ritzo, Sameer T. Shikalgar, Michael Toner A full-factory simulator as a daily decision-support tool for 300MM wafer fabrication productivity. Search on Bibsonomy WSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Gregory Hornby, William F. Kraus, Jason D. Lohn Evolving MEMS Resonator Designs for Fabrication. Search on Bibsonomy ICES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Yu Hou, Jianyi Kong Bionic Flying Robot: Design, Fabrication and Experiment. Search on Bibsonomy ICIRA (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Bionic flying robot, Bionic design, flapping mechanism, wind tunnel experiment
18Hagay Bamberger, Moshe Shoham A Novel Six Degrees-of-Freedom Parallel Robot for MEMS Fabrication. Search on Bibsonomy IEEE Trans. Robotics The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Parasar Kodati, Jonathan Hinkle, Xinyan Deng Micro Autonomous Robotic Ostraciiform (MARCO): Design and Fabrication. Search on Bibsonomy ICRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Evan Shechter, Arjun Arumbakkam, P. Lamoureux, Xueti Tang, M. Shima, Srinivas Akella Towards batch fabrication and assembly of 3D microstructures: A sequential assembly planner with new hard magnet configuration. Search on Bibsonomy IROS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Robert J. Wood Design, fabrication, and analysis of a 3DOF, 3cm flapping-wing MAV. Search on Bibsonomy IROS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Vishal Khandelwal, Ankur Srivastava 0001 Monte-Carlo driven stochastic optimization framework for handling fabrication variability. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Jürgen Reinhard, Stefan Motsch Material Flow Management in a Motor Fabrication: Identifying Saving Potential in the Mechanical Production by Modeling Energy- and Material Flows as Well as Machine Time Using Umberto. Search on Bibsonomy ITEE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Anupam Chattopadhyay, Zoltan Endre Rakosi, Kingshuk Karuri, David Kammler, Rainer Leupers, Gerd Ascheid, Heinrich Meyr Pre- and Post-Fabrication Architecture Exploration for Partially Reconfigurable VLIW Processors. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Miriam Adlerstein Marwick, Andreas G. Andreou Fabrication and Testing of Single Photon Avalanche Detectors in the TSMC 0.18µm CMOS Technology. Search on Bibsonomy CISS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Amit Kumar Gupta, Appa Iyer Sivakumar Pareto control in multi-objective dynamic scheduling of a stepper machine in semiconductor wafer fabrication. Search on Bibsonomy WSC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Chul Hong Min, Tae-Seon Kim Modeling of Micro Spring Tension Force for Vertical Type Probe Card Fabrication. Search on Bibsonomy ISNN (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Toly Chen, Yu-Cheng Lin A Hybrid and Intelligent System for Predicting Lot Output Time in a Semiconductor Fabrication Factory. Search on Bibsonomy RSCTC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Toly Chen Applying an Intelligent Neural System to Predicting Lot Output Time in a Semiconductor Fabrication Factory. Search on Bibsonomy ICONIP (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Mohamed A. El-Gamal, Hany L. Abdel-Malek, M. A. Sorour A neural-network-based approach for post-fabrication circuit tuning. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Clustering, Neural networks, Feature selection, Self organizing maps, Circuit tuning
18John Rieffel, Jordan B. Pollack Crossing the fabrication gap: evolving assembly plans to build 3-D objects. Search on Bibsonomy Congress on Evolutionary Computation The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18José A. Ramírez-Hernández, Heshan Li, Emmanuel Fernandez, Charles R. McLean, Swee Leong A framework for standard modular simulation in semiconductor wafer fabrication systems. Search on Bibsonomy WSC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Dong-Hyun Baek, In-Jae Jeong, Chang Hee Han Application of Data Mining for Improving Yield in Wafer Fabrication System. Search on Bibsonomy ICCSA (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Mingjun Zhang, Tzyh Jong Tarn, Ning Xi 0001 A Nano-liter Bio-material Spotting System for Bio-chip Microarray Fabrication. Search on Bibsonomy ICRA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Jasmeet Chhabra, Nandakishore Kushalnagar, Benjamin Metzler, Allen Sampson Sensor networks in intel fabrication plants. Search on Bibsonomy SenSys The full citation details ... 2004 DBLP  DOI  BibTeX  RDF predictive maintenance, sensor networks, vibration analysis
18Jiang Hua, Li Li 0008, Fei Qiao, Qidi Wu The new method of dynamic scheduling in semiconductor fabrication line. Search on Bibsonomy ICARCV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Kemal Berk Yesin, Karl Vollmers, Bradley J. Nelson Actuation, Sensing, and Fabrication for In Vivo Magnetic Microrobots. Search on Bibsonomy ISER The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Youngshin Han, Dongsik Park, Sangwon Chae, Chilgee Lee Full Fabrication Simulation of 300mm Wafer Focused on AMHS (Automated Material Handling Systems). Search on Bibsonomy AsiaSim The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Masahiro Murakawa, Eiichi Takahashi, Tatsuya Susa, Tetsuya Higuchi Post-fabrication clock timing adjustment for digital LSIs with genetic algorithms ensuring timing margins. Search on Bibsonomy SMC (4) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Kazuo Miyashita, Kazuyuki Senoh, Hiroyuki Ozaki, Hirofumi Matsuo Factory scheduling and dispatching: constant time interval production planning with application to WIP control in semiconductor fabrication. Search on Bibsonomy WSC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Dima Nazzal, Douglas A. Bodner Automated material handling systems: a simulation-based design framework for automated material handling systems in 300mm fabrication facilities. Search on Bibsonomy WSC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Jian-Bin Bao, D. Jed Harrison Design and Fabrication of Microchannels for Magnetohydrodynamic Flow. Search on Bibsonomy ICMENS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Ioana Voiculescu, Mona E. Zaghloul, R. Andrew McGill Design, fabrication and modeling of microbeam structures for gas sensor applications in CMOS technology. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Gary K. Fedder MEMS Fabrication. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Carl R. Williams, Peraset Chompuming Manufacturing 2: a simulation study of robotic welding system with parallel and serial processes in the metal fabrication industry. Search on Bibsonomy WSC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Jesus A. Jimenez, Bosun Kim, John W. Fowler, Gerald T. Mackulak, You In Choung, Dong-Jin Kim Material handling: operational modeling and simulation of an inter-bay AMHS in semiconductor wafer fabrication. Search on Bibsonomy WSC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Chanettre Rasmidatta, Shari Murray, John W. Fowler, Gerald T. Mackulak Modeling methodology: new approaches for simulation of wafer fabrication: the use of control variates and calibration metrics. Search on Bibsonomy WSC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Chao Qi, Tuck Keat Tang, Appa Iyer Sivakumar Modeling methodology: simulation based cause and effect analysis of cycle time and WIP in semiconductor wafer fabrication. Search on Bibsonomy WSC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Lars Mönch, Matthias Prause, Volker Schmalfuss Simulation-based solution of load-balancing problems in the photolithography area of a semiconductor wafer fabrication facility. Search on Bibsonomy WSC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Scott J. Mason, John W. Fowler Semiconductor manufacturing scheduling: maximizing delivery performance in semiconductor wafer fabrication facilities. Search on Bibsonomy WSC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Dilip K. Bhavsar, Ugonna Echeruo, David R. Akeson, William J. Bowhill A highly testable and diagnosable fabrication process test chip. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18K. K. Low, Stephen W. Director A new methodology for the design centering of IC fabrication processes. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
18K. K. Low, Stephen W. Director An efficient methodology for building macromodels of IC fabrication processes. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
15Yeow Meng Chee, Alan C. H. Ling Limit on the Addressability of Fault-Tolerant Nanowire Decoders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Mehdi Baradaran Tahoori Low-overhead defect tolerance in crossbar nanoarchitectures. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reconfigurable architectures, nanotechnology, Defect tolerance
15Alessandro Carpentiero, Manuela De Leo, Ivan Garcia Romero, Stefano Pozzi Mucelli, Freimut Reuther, Giorgio Stanta, Massimo Tormen, Paolo Ugo, Martina Zamuner Nanoelectrochemical Immunosensors for Protein Detection. Search on Bibsonomy NanoNet The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Nanoelectrode, electrochemical immunosensor, trastuzumab, voltammetry, electron beam lithography, proteomics
15Kristopher Pataky, Oscar Vazquez-Mena, Juergen Brugger Nanostencil and InkJet Printing for Bionanotechnology Applications. Search on Bibsonomy NanoNet The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Inkjet, Plasmonics, Cell Patterning, Cell Printing, Nanotechnology, Biosensor, Stencil, Tissue Engineering
15Zhuxin Dong, Uchechukwu C. Wejinya, Imad H. Elhajj, M. Meyyappan Characterization of vertically aligned carbon nanofibers grown on Ni dots nanoelectrode array using Atomic Force Microscopy. Search on Bibsonomy IROS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Osman Hasan, Naeem Abbasi, Sofiène Tahar Formal Probabilistic Analysis of Stuck-at Faults in Reconfigurable Memory Arrays. Search on Bibsonomy IFM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Kazuhiro Jo, Jamie Allen Chiptune Marching Band: presented simultaneously in Japanese and English. Search on Bibsonomy SIGGRAPH ASIA Courses The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Jacob White 0001 Design tools for emerging technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF glsvlsi 2009 invited talk
Displaying result #101 - #200 of 4557 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license