The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for layout with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1971 (20) 1972-1975 (16) 1976-1977 (20) 1978-1979 (20) 1980-1981 (32) 1982 (25) 1983 (27) 1984 (37) 1985 (74) 1986 (48) 1987 (62) 1988 (82) 1989 (98) 1990 (141) 1991 (93) 1992 (87) 1993 (126) 1994 (92) 1995 (181) 1996 (154) 1997 (169) 1998 (201) 1999 (275) 2000 (248) 2001 (303) 2002 (386) 2003 (400) 2004 (490) 2005 (540) 2006 (550) 2007 (631) 2008 (574) 2009 (424) 2010 (259) 2011 (227) 2012 (227) 2013 (252) 2014 (253) 2015 (259) 2016 (265) 2017 (290) 2018 (315) 2019 (331) 2020 (351) 2021 (407) 2022 (390) 2023 (514) 2024 (110)
Publication types (Num. hits)
article(3614) book(9) data(3) incollection(68) inproceedings(7258) phdthesis(123) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(514) DAC(463) IEEE Trans. Comput. Aided Des....(412) ICCAD(186) ICDAR(166) GD(139) ASP-DAC(135) VLSI Design(115) IEEE Trans. Very Large Scale I...(113) ISPD(112) ISQED(112) ISCAS(109) DATE(102) IEEE Trans. Vis. Comput. Graph...(89) WSC(74) IEEE Trans. Computers(73) More (+10 of total 2371)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6705 occurrences of 3042 keywords

Results
Found 11076 publication records. Showing 11076 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
38Alain Guyot, Luis A. Montalvo, A. Houelle, Habib Mehrez, Nicolas Vaucher Comparison of the layout synthesis of radix-2 and pseudo-radix-4 dividers. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF layout synthesis, radix-2 dividers, pseudo-radix-4 dividers, redundant number notation, carry-propagation-free addition/subtraction, VLSI, logic CAD, circuit layout CAD, CMOS logic circuits, VLSI implementation, integrated circuit layout, redundant number systems, dividing circuits, digit-recurrence division
37Avaneendra Gupta, John P. Hayes CLIP: integer-programming-based optimal layout synthesis of 2D CMOS cells. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF CMOS networks, circuit clustering, diffusion sharing, leaf cell synthesis, transistor chains, two-dimensional layout, integer programming, integer linear programming, layout optimization, module generation
37Glenn Holt, Akhilesh Tyagi EPNR: an energy-efficient automated layout synthesis package. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF EPNR, energy-efficient automated layout synthesis package, MCNC Logic Synthesis '93 benchmarks, VPNR, VLSI energy minimization problems, VLSI, logic testing, placement, logic CAD, circuit layout CAD, global routing, logic arrays, standard cells, channel routing
37Tamara Munzner H3: laying out large directed graphs in 3D hyperbolic space. Search on Bibsonomy INFOVIS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF large directed graphs, 3D hyperbolic space, H3 layout technique, euclidean 3-space, cone tree layout algorithm, hyperbolic navigation, subtree pruning, optimization, directed graphs, data visualization, spanning tree, graph drawing, hierarchical data, node-link diagrams, visual clutter, domain-specific knowledge
37Gary S. D. Farrow, Costas S. Xydeas, John P. Oakley Model matching in intelligent document understanding. Search on Bibsonomy ICDAR The full citation details ... 1995 DBLP  DOI  BibTeX  RDF intelligent document understanding, hybrid bottom-up/top-down control strategy, appropriate page layout structure, error propagation model, computationally simple search strategies, maximal column area coverage, probabilistic layout object selection, optical character recognition, document image processing, search problems, model matching
37Scott E. Hudson, Chen-Ning Hsi A synergistic approach to specifying simple number independent layouts by example. Search on Bibsonomy INTERCHI The full citation details ... 1993 DBLP  DOI  BibTeX  RDF end-user customization, grid-based layout, layout specification, generalization, programming by example
36Kaiyou Lei Research on Constrained Layout Optimization Problem Using Multi-adaptive Strategies Particle Swarm Optimizer. Search on Bibsonomy AICI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF premature problem, constrained layout, particle swarm optimization
36Kun Yuan, Jae-Seok Yang, David Z. Pan Double patterning layout decomposition for simultaneous conflict and stitch minimization. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF double patterning lithography, layout decomposition, integer linear programming
36Marcus Furuholmen, Kyrre Harald Glette, Mats Erling Høvin, Jim Tørresen Scalability, generalization and coevolution -- experimental comparisons applied to automated facility layout planning. Search on Bibsonomy GECCO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF facility layout problem, development, coevolution, gene expression programming
36Joseph Gil, William W. Pugh, Grant E. Weddell, Yoav Zibin Two-dimensional bidirectional object layout. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF coloring, hierarchy, inheritance, layout, bidirectional
36Xiaozhen Mi, Xiaodong Zhao, Wenzhong Zhao, Wenhui Fan Case Study on Optimization of Rectangular Object Layout by Genetic Algorithm. Search on Bibsonomy CSCWD (Selected Papers) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Rectangular Object Layout, Guillotine, Nesting optimization, Material quota, Genetic Algorithm
36Nathaniel McIntosh, Sandya Mannarswamy, Robert Hundt Whole-program optimization of global variable layout. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF compiler-directed memory management, global variable layout, data caches
36Decai Huang, Jia Hu, Yuan Yuan Primary-Color-Based Spatial Layout Features and New Image Matching Algorithm Based on Dual Features. Search on Bibsonomy ICAT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF primary color, spatial layout feature, Image retrieval
36Nathan Hurst, Kim Marriott, David W. Albrecht Solving the simple continuous table layout problem. Search on Bibsonomy ACM Symposium on Document Engineering The full citation details ... 2006 DBLP  DOI  BibTeX  RDF automatic table layout, constrained optimization
36Hiromichi Fukutake, Yoshiaki Akazawa, Yoshihiro Okada, Koichi Niijima 3D Object Layout by Voice Commands Based on Contact Constraints. Search on Bibsonomy CGIV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF 3D Scene Generation, 3D Object Layout, Voice commands, IntelligentBox
36Charles E. Jacobs, Wilmot Li, Evan Schrier, David Bargeron, David Salesin Adaptive grid-based document layout. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF XML, dynamic programming, constraints, HTML, templates, PDF, CSS, XSL, pagination, adaptive layout
36Simon Lok, Steven Feiner The AIL automated interface layout system. Search on Bibsonomy IUI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF automated layout, natural language generation
36Donald S. Gelosh, Dorothy E. Setliff Modeling layout tools to derive forward estimates of area and delay at the RTL level. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF estimation techniques, machine learning, estimation, layout, VLSI CAD
36Shabbir H. Batterywala, Sambuddha Bhattacharya, Subramanian Rajagopalan, Hi-Keung Tony Ma, Narendra V. Shenoy Cell Swapping Based Migration Methodology for Analog and Custom Layouts. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Layout migration, compaction, constraint generation
35Sebastian Feuerstack, Marco Blumendorf, Veit Schwartze, Sahin Albayrak Model-based layout generation. Search on Bibsonomy AVI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF human-computer interaction, layouting, context-of-use, model-based user interfaces, constraint generation
35Kamran Ali, Knut Hartmann, Georg Fuchs, Heidrun Schumann Adaptive Layout for Interactive Documents. Search on Bibsonomy Smart Graphics The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Siyuan Chen, Song Mao, George R. Thoma Simultaneous Layout Style and Logical Entity Recognition in a Heterogeneous Collection of Documents. Search on Bibsonomy ICDAR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Susanne Jucknath-John, Dennis Graf, Gabriele Taentzer Evolutionary layout: preserving the mental map during the development of class models. Search on Bibsonomy SOFTVIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Cao Yan, Jiang Du 0004, Lina Yang, Yanli Yang, Bai Yu, Dawei Zhang, Rujia Zhao Development of a Sheet Metal Part Stock Layout System Based on OPENCASCADE Platform. Search on Bibsonomy IMSCCS (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35John William Lumley, Roger Gimson, Owen Rees Resolving layout interdependency with presentational variables. Search on Bibsonomy ACM Symposium on Document Engineering The full citation details ... 2006 DBLP  DOI  BibTeX  RDF functional programming, XSLT, SVG, document construction
35Jianwen Zhu, Fang Fang, Qianying Tang Calligrapher: a new layout-migration engine for hard intellectual property libraries. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Bernhard Wess, Thomas Zeitlhofer On the Phase Coupling Problem Between Data Memory Layout Generation and Address Pointer Assignment. Search on Bibsonomy SCOPES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Roberta L. Klatzky, Susan J. Lederman How Well Can We Encode Spatial Layout from Sparse Kinesthetic Contact? Search on Bibsonomy HAPTICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Qi-De Qian, Sheldon X.-D. Tan Advanced Physical Models for Mask Data Verification and Impacts on Physical Layout Synthesis. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Yu Chen 0005, Andrew B. Kahng, Gabriel Robins, Alexander Zelikovsky Area fill synthesis for uniform layout density. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Shai Rubin, Rastislav Bodík, Trishul M. Chilimbi An efficient profile-analysis framework for data-layout optimizations. Search on Bibsonomy POPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Pedram Khademsameni, Marek Syrzycki Manufacturability Analysis of Analog CMOS ICs through Examination of Multiple Layout Solutions. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Neungsoo Park, Bo Hong, Viktor K. Prasanna Analysis of Memory Hierarchy Performance of Block Data Layout. Search on Bibsonomy ICPP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Clemens Kerer, Engin Kirda Layout, Content and Logic Separation in Web Engineering. Search on Bibsonomy Web Engineering The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
35Tatiana Kalganova, Julian F. Miller Evolving More Efficient Digital Circuits by Allowing Circuit Layout Evolution and Multi-Objective Fitness. Search on Bibsonomy Evolvable Hardware The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
35Jianying Hu, Ramanujan S. Kashi, Gordon T. Wilfong Document Image Layout Comparison and Classification. Search on Bibsonomy ICDAR The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
35Yi-Min Jiang, Angela Krstic, Kwang-Ting Cheng, Malgorzata Marek-Sadowska Post-Layout Logic Restructuring for Performance Optimization. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
35Tatsuya Shindo, Hidetoshi Iwashita, Shaun Kaneshiro, Tsunehisa Doi, Junichi Hagiwara Twisted data layout. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
35Chi-Yi Hwang, Yung-Ching Hsieh, Youn-Long Lin, Yu-Chin Hsu An efficient layout style for two-metal CMOS leaf cells and its automatic synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
35Mary Jane Irwin, Robert Michael Owens A Comparison of Four Two-dimensional Gate Matrix Layout Tools. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
34Lihong Zhang, Nuttorn Jangkrajarng, Sambuddha Bhattacharya, C.-J. Richard Shi Parasitic-Aware Optimization and Retargeting of Analog Layouts: A Symbolic-Template Approach. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Mohamed Soufi, Steve Rochon, Yvon Savaria, Bozena Kaminska Design and performance of CMOS TSPC cells for high speed pseudo random testing. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF CMOS TSPC cells, high speed pseudo random testing, built-in self-test scheme, HSpice simulations, functionally equivalent logic block, true single phase clocking, logic testing, built-in self test, integrated circuit testing, logic CAD, layout, circuit analysis computing, clocks, circuit layout CAD, CMOS logic circuits, SPICE, cellular arrays, integrated circuit layout, test methodology, untestable faults, netlists
34Jitendra Khare, Sujoy Mitra, Pranab K. Nag, U. Maly, Rob A. Rutenbar Testability-oriented channel routing. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF IC testing quality, testability-oriented channel routing, IC layout modification, test escape probability, iterative channel routing tool, fault undetectability, WrenTR, fault diagnosis, integrated circuit testing, design for testability, fault detectability, network routing, circuit layout CAD, bridging fault, circuit optimisation, integrated circuit layout, design strategies, yield loss, integrated circuit yield
33Anikó Simon, Jean-Christophe Pret, A. Peter Johnson A Fast Algorithm for Bottom-Up Document Layout Analysis. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF physical page layout, bottom-up layout analysis, Kruskal's algorithm, chemical documents, spanning tree, Document analysis
33Man-Fai Yu, Joel Darnauer, Wayne Wei-Ming Dai Interchangeable pin routing with application to package layout. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF BGA, all-angle wiring, interchangeable pin routing, min-cost max-flow heuristic, multiple layers, octilinear wiring, package layout, pin redistribution, prerouted nets, rectilinear wiring, test fixture routing, triangulated routing network, CAD, NP-complete, ASIC, circuit layout CAD, speed, PGA, input output, routing problems
33Antonie Azokly, Rolf Ingold A language for document generic layout description and its use for segmentation into regions. Search on Bibsonomy ICDAR The full citation details ... 1995 DBLP  DOI  BibTeX  RDF document generic layout description language, generic layout description, region boundary delimiters, separator determination, segmentation method, page description languages
32Nuttorn Jangkrajarng, Lihong Zhang, Sambuddha Bhattacharya, Nathan Kohagen, C.-J. Richard Shi Template-based parasitic-aware optimization and retargeting of analog and RF integrated circuit layouts. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF analog/RF integrated circuits, layout automation, layout symmetry, design reuse, parasitics
32Vinod Anupam, Shaul Dar, Ted Leibfried, Eric Petajan Research report: DataSpace: 3-D visualizations of large databases. Search on Bibsonomy INFOVIS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF interactive 3D visualization, large database analysis, display space, information panels, 3D graph layout, continuous navigation facilities, selective rearrangements, image merging, 3D graphic operations, query step, rendering step, layout step, query processing, data analysis, transparency, data visualisation, very large databases, large databases, computer displays, DataSpace, image comparison, performance issues
32Guangchun Luo, Jun Zhang, Xianliang Lu, Jun Lu Active block layout: a high performance disk layout mechanism. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF performance, layout, disk
32Leo A. Meyerovich, Rastislav Bodík Fast and parallel webpage layout. Search on Bibsonomy WWW The full citation details ... 2010 DBLP  DOI  BibTeX  RDF box model, mobile, html, multicore, layout, attribute grammar, css, font, selector
32Rani S. Ghaida, Payman Zarkesh-Ha A Layout Sensitivity Model for Estimating Electromigration-vulnerable Narrow Interconnects. Search on Bibsonomy J. Electron. Test. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Layout sensitivity, Narrow defects, Electromigration, Critical area, Yield prediction, Yield modeling, Spot defects
32Jamie Shotton, John M. Winn, Carsten Rother, Antonio Criminisi TextonBoost for Image Understanding: Multi-Class Object Recognition and Segmentation by Jointly Modeling Texture, Layout, and Context. Search on Bibsonomy Int. J. Comput. Vis. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Semantic image segmentation, Piecewise training, Segmentation, Context, Object recognition, Texture, Boosting, Layout, Conditional random field, Image understanding, Textons
32Cameron Braganza, Kim Marriott, Peter Moulder, Michael Wybrow, Tim Dwyer Scrolling behaviour with single- and multi-column layout. Search on Bibsonomy WWW The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-column layout, web-browser, reading, scrolling
32Yi-Wei Lin, Malgorzata Marek-Sadowska, Wojciech Maly Transistor-level layout of high-density regular circuits. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF transistor layout, placement and routing, regular fabric, dfm
32Kaiyou Lei Constrained Layout Optimization Based on Adaptive Particle Swarm Optimizer. Search on Bibsonomy ISICA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF premature problem, constrained layout optimization, dynamic performance constraints, particle swarm optimization
32Yun Ye, Frank Liu 0001, Min Chen 0024, Yu Cao 0001 Variability analysis under layout pattern-dependent rapid-thermal annealing process. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dopant activation, layout pattern, rapid-thermal annealing, threshold voltage variation, physical design
32Bodin Dresevic, Aleksandar Uzelac, Bogdan Radakovic, Nikola Todic Book Layout Analysis: TOC Structure Extraction Engine. Search on Bibsonomy INEX The full citation details ... 2008 DBLP  DOI  BibTeX  RDF book layout analysis, TOC, TOC navigation, ocrml, bookml, information extraction
32Wang Chen, Yanjun Shi, Hong-fei Teng An Improved Differential Evolution with Local Search for Constrained Layout Optimization of Satellite Module. Search on Bibsonomy ICIC (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF layout design, satellite module, genetic algorithm, combinatorial optimization, Differential evolution
32Jyh-Jong Tsay, Bo-Liang Wu, Yu-Sen Jeng Hierarchically Organized Layout for Visualization of Biochemical Pathway. Search on Bibsonomy BMEI (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Pathway Layout, Biochemical
32Almitra Pradhan, Ranga Vemuri A layout-aware analog synthesis procedure inclusive of dynamic module geometry selection. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF layout-aware, matrix-models, sizing
32Nathan Hurst, Kim Marriott Satisficing scrolls: a shortcut to satisfactory layout. Search on Bibsonomy ACM Symposium on Document Engineering The full citation details ... 2008 DBLP  DOI  BibTeX  RDF floating figure, multi-column layout, optimisation techniques
32Cheih-Ying Chen, Ying-Jye Lee, Fong-Gong Wu, Chi-Fu Su Screen Layout on Color Search Task for Customized Product Color Combination Selection. Search on Bibsonomy HCI (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Product color, Color name, Mass customization, Screen layout
32Shin'ichiro Eitoku, Shunichi Yonemura, Ken-ichiro Shimokura Impact of Sign Language Movie and Text Layout on the Readout Time. Search on Bibsonomy HCI (5) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Emergency message, Layout, Sign Language, Public Space
32Mark Whitney, Nemanja Isailovic, Yatish Patel, John Kubiatowicz Automated generation of layout and control for quantum circuits. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF ion trap, CAD, control, quantum computing, layout
32Junhyung Um, Taewhan Kim Resource Sharing Combined with Layout Effects in High-Level Synthesis. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF resource allocation, high-level synthesis, layout
32Walter Dees Device Independent Layout And Style Editing Using Multi-Level Style Sheets. Search on Bibsonomy CADUI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Concrete user interface, Device independent authoring, Multi-level style sheets, Adaptation, Layout, Style, User interface generation, Multi-platform user interfaces
32Alexander J. Macdonald, David F. Brailsford, John William Lumley Evaluating invariances in document layout functions. Search on Bibsonomy ACM Symposium on Document Engineering The full citation details ... 2006 DBLP  DOI  BibTeX  RDF XML, optimisation, XSLT, SVG, document layout
32Xin Yuan, Kevin W. McCullen, Fook-Luen Heng, Robert F. Walker, Jason Hibbeler, Robert J. Allen, Rani R. Narayan Technology migration technique for designs with strong RET-driven layout restrictions. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF RDR, RET-driven layout, restrictive design rules, technology migration
32Sergio Beker, Nicolas Puech, Vasilis Friderikos A Tabu Search Heuristic for the Offline MPLS Reduced Complexity Layout Design Problem. Search on Bibsonomy NETWORKING The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Layout Complexity, Tabu Search, Traffic Engineering, MPLS, Multicommodity Flow, LSP, MINLP
32Anuradha Agarwal, Hemanth Sampath, Veena Yelamanchili, Ranga Vemuri Fast and accurate parasitic capacitance models for layout-aware. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF layout aware, parasitic estimation, analog synthesis
32Jean Flower, Peter Rodgers 0001, Paul Mutton Layout Metrics for Euler Diagrams. Search on Bibsonomy IV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF layout metrics, graph drawing, Euler diagrams
32Josep Díaz, Jordi Petit, Maria J. Serna A survey of graph layout problems. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Approximation algorithms, complexity, heuristics, embedding, layout, random graphs, parameterized complexity
32Stefan Klink, Thomas Kieninger Rule-based document structure understanding with a fuzzy combination of layout and textual features. Search on Bibsonomy Int. J. Document Anal. Recognit. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Document structure analysis, Fuzzy feature combination, Document understanding, Layout analysis, Rule-based approach
32Y. H. Liu-Gong, Bernard Dubuisson, H. N. Pham A general analysis system for document's layout structure recognition. Search on Bibsonomy ICDAR The full citation details ... 1995 DBLP  DOI  BibTeX  RDF document layout structure recognition, image segmentation, rules, document image processing, document image processing, object oriented method, generic models, document recognition, document analysis system
32Kanad Chakraborty, Pinaki Mazumder Technology and layout-related testing of static random-access memories. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Array layout, cell technology, Gallium Arsenide (GaAs), high electron mobility transistor (HEMT) RAMs, I DD testing, I DDQ testing
31Zheng Liu, Lihong Zhang Performance-constrained template-driven retargeting for analog and RF layouts. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF optimization, performance, layout, retargeting, parasitics
31Ying Tu, Han-Wei Shen Visualizing Changes of Hierarchical Data using Treemaps. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF visualize changes, treemap layout algorithm, Treemap, tree comparison
31Sunyu Hwang, Geehyuk Lee Qwerty-like 3x4 keypad layouts for mobile phone. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2005 DBLP  DOI  BibTeX  RDF QWERTY keyboard layout, QWERTY-like keypad layouts, mobile phone
31Guihai Chen, Francis C. M. Lau 0001 Tighter Layouts of the Cube-Connected Cycles. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF routing, VLSI, Interconnection networks, embedding, layout, cube-connected cycles
31Vincent W. L. Tam Removing Node and Edge Overlapping in Graph Layouts by a Modified EGENET Solver. Search on Bibsonomy ICTAI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Graph Layout Problems, Local Search Methods
31Paola Bertolazzi, Giuseppe Di Battista, Giuseppe Liotta Parametric Graph Drawing. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Automatic layout facility, graph drawing algorithm, diagram
31Hua Tang, Hui Zhang 0057, Alex Doboli Layout-Aware Analog System Synthesis Based on Symbolic Layout Description and Combined Block Parameter Exploration, Placement and Global Routing. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Ender Yilmaz, Günhan Dündar Analog Layout Generator for CMOS Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Ben L. Titzer, Jens Palsberg Vertical Object Layout and Compression for Fixed Heaps. Search on Bibsonomy Semantics and Algebraic Specification The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Chris Muelder, Kwan-Liu Ma A Treemap Based Method for Rapid Layout of Large Graphs. Search on Bibsonomy PacificVis The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Andrew B. Kahng, Chul-Hong Park, Xu Xu 0001, Hailong Yao Layout decomposition for double patterning lithography. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Mayur Bubna, Sudip Roy 0002, Naresh Shenoy, Subhra Mazumdar 0002 A layout-aware physical design method for constructing feasible QCA circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF routing, partitioning, placement, quantum-dot cellular automata
30Jarkko Itkonen, Balázs P. Tuzson, Jukka Lempiäinen A Novel Network Layout for CDMA Cellular Networks with Optimal Base Station Antenna Height and Downtilt. Search on Bibsonomy VTC Spring The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Koenraad Mertens, Tom Holvoet, Yolande Berbers A case for adaptation of the distributed environment layout in multiagent applications. Search on Bibsonomy ACM SIGSOFT Softw. Eng. Notes The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Miodrag Vujkovic, David Wadkins, Carl Sechen Efficient Post-layout Power-Delay Curve Generation. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Tingyuan Nie, Tomoo Kisaka, Masahiko Toyonaga A post layout watermarking method for IP protection. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Ardhendu Behera, Denis Lalanne, Rolf Ingold Enhancement of Layout-based Identification of Low-resolution Documents using Geometrical Color Distribution. Search on Bibsonomy ICDAR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Kazuki Fukuoka, Masaaki Iijima, Kenji Hamada, Masahiro Numa, Akira Tada A Novel Layout Approach Using Dual Supply Voltage Technique on Body-Tied PD-SOI. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Ian Kuon, Aaron Egier, Jonathan Rose Transistor grouping and metal layer trade-offs in automatic tile layout of FPGAs. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Tetsuya Iizuka, Makoto Ikeda, Kunihiro Asada High speed layout synthesis for minimum-width CMOS logic cells via Boolean satisfiability. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Enrica Zola, Francisco Barceló 0001 Planning the Base Station Layout in UMTS Urban Scenarios: A Simulation Approach to Coverage and Capacity Estimation. Search on Bibsonomy ICT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Omid Banyasad, Philip T. Cox An Automatic Layout Algorithm for Lograph. Search on Bibsonomy VL/HCC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Sambuddhi Hettiaratchi, Peter Y. K. Cheung Mesh Partitioning Approach to Energy Efficient Data Layout. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Nuttorn Jangkrajarng, Sambuddha Bhattacharya, Roy Hartono, C.-J. Richard Shi Automatic analog layout retargeting for new processes and device sizes. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Donato Malerba, Floriana Esposito, Oronzo Altamura, Michelangelo Ceci, Margherita Berardi Correcting the Document Layout: A Machine Learning Approach. Search on Bibsonomy ICDAR The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 11076 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license