The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multipliers with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1975 (15) 1976-1980 (18) 1981-1984 (20) 1985-1988 (24) 1989-1990 (20) 1991 (16) 1992-1993 (32) 1994 (18) 1995 (39) 1996 (28) 1997 (27) 1998 (40) 1999 (58) 2000 (47) 2001 (76) 2002 (74) 2003 (105) 2004 (101) 2005 (139) 2006 (151) 2007 (117) 2008 (166) 2009 (91) 2010 (55) 2011 (56) 2012 (66) 2013 (73) 2014 (79) 2015 (91) 2016 (79) 2017 (94) 2018 (133) 2019 (110) 2020 (108) 2021 (119) 2022 (125) 2023 (137) 2024 (31)
Publication types (Num. hits)
article(1370) book(1) data(2) incollection(14) inproceedings(1381) phdthesis(10)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1366 occurrences of 709 keywords

Results
Found 2778 publication records. Showing 2778 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
28Robert Michael Owens, Mary Jane Irwin Being Stingy with Multipliers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF VLSI signal processor, signal processing architectures, signal processing equipment, VLSI, interconnect, adders, multipliers, digital signal processing chips
28Charles C. Wang An Algorithm to Design Finite Field Multipliers Using a Self-Dual Normal Basis. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF self-dual, Massey-Omura finite-field multiplier, self-dual basis, digital arithmetic, Boolean algebra, normal basis, Massey-Omura multiplier, finite field multipliers, product function
28Marina C. Chen The Generation of a Class of Multipliers: Synthesizing Highly Parallel Algorithms in VLSI. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF highly parallel algorithms synthesis, long multiplication algorithm, binary numbers, parallel algorithms, VLSI, VLSI, parallel architectures, digital arithmetic, multipliers, Crystal
28In-Shek Hsu, Trieu-Kien Truong, Leslie J. Deutsch, Irving S. Reed A Comparison of VLSI Architecture of Finite Field Multipliers Using Dual, Normal, or Standard Bases. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF dual-basis multiplier, Massey-Omura normal basis multiplier, Scott-Tavares-Peppard standard basis multiplier, NMOS technology, VLSI, VLSI architecture, multiplying circuits, finite field multipliers, field effect integrated circuits
28John Paul Shen, F. Joel Ferguson The Design of Easily Tastabel VLSI Array Multipliers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF design for testability, VLSI testing, Array multipliers, C-testability, exhaustive testing
28Hussein T. Mouftah, Kenneth C. Smith, Zvonko G. Vranesic Ternary Rate-Multipliers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1980 DBLP  DOI  BibTeX  RDF rate-multipliers, Integrated circuits, three-valued logic
28Kai Hwang 0001 Global and Modular Two's Complement Cellular Array Multipliers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF two's complement multiplication., Additive multiply modules, cellular array multipliers, two's complement multiplication, LSI arithmetic arrays, multiplication networks, pipelined arithmetic design, computer arithmetic
28Dursun Baran, Mustafa Aktan, Vojin G. Oklobdzija Energy efficient implementation of parallel CMOS multipliers with improved compressors. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF arithmetic and logic structures, VLSI, low-power design, high- speed arithmetic, booth encoding
28Masayuki Yoshino, Katsuyuki Okeya, Camille Vuillaume Bipartite modular multiplication with twice the bit-length of multipliers. Search on Bibsonomy Int. J. Inf. Sec. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Bipartite modular multiplication, Double-size technique, RSA, Smartcard, Montgomery multiplication, Modular multiplication
28Flavio Carbognani, Felix Bürgin, Norbert Felber, Hubert Kaeslin, Wolfgang Fichtner Transmission Gates Combined With Level-Restoring CMOS Gates Reduce Glitches in Low-Power Low-Frequency Multipliers. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Hsing-Chung Liang, Pao-Hsin Huang, Yan-Fei Tang Testing Transition Delay Faults in Modified Booth Multipliers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Hafizur Rahaman 0001, Jimson Mathew, Dhiraj K. Pradhan, Abusaleh M. Jabir C-testable bit parallel multipliers over GF(2m). Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF testing, cryptography, built-in self-test, digital signal processing, polynomials, VLSI design, stuck-at fault, TPG, fault, multiplier, Galois field, error control code, C-testable
28Jian-Feng Hu 0002, Ping-Qi Pan An efficient approach to updating simplex multipliers in the simplex algorithm. Search on Bibsonomy Math. Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Mathematics Subject Classification (2000) 65K05, 90C05
28Brian J. Hickmann, Michael J. Schulte, Mark A. Erle Improved combined binary/decimal fixed-point multipliers. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Sri Raga Sudha Garimella, Jaime Ramírez-Angulo, Antonio J. López-Martín, Ramón González Carvajal Design of highly linear multipliers using floating gate transistors and/or source degeneration resistor. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Man Yan Kong, J. M. Pierre Langlois, Dhamin Al-Khalili Efficient FPGA implementation of complex multipliers using the logarithmic number system. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28José Luis Imaña, Román Hermida, Francisco Tirado Low Complexity Bit-Parallel Multipliers Based on a Class of Irreducible Pentanomials. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Henrik Eriksson, Per Larsson-Edefors, Daniel Eckerbert Toward architecture-based test-vector generation for timing verification of fast parallel multipliers. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28José Luis Imaña, Juan Manuel Sánchez Efficient Reconfigurable Implementation of Canonical and Normal Basis Multipliers Over Galois Fields GF(2m) Generated by AOPs. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Galois field GF(2m), canonical basis, reconfigurable hardware, normal basis, bit-parallel multiplier
28Martin Novotný, Jan Schmidt General Digit Width Normal Basis Multipliers with Circular and Linear Structure. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Mohammad D. Mottaghi, Ali Afzali-Kusha, Zainalabedin Navabi ByZFAD: a low switching activity architecture for shift-and-add multipliers. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF adder bypass, byZFAD, hot-block ring counter, shiftand-add multiplier, low-power, switching activity
28Jinyao Zhang, Miodrag Vujkovic, David Wadkins, Carl Sechen Post-layout energy-delay analysis of parallel multipliers. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28César Luis Alonso, Fernando Caro, José Luis Montaña An Evolutionary Strategy for the Multidimensional 0-1 Knapsack Problem Based on Genetic Computation of Surrogate Multipliers. Search on Bibsonomy IWINAC (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF 0–1 integer programming, genetic algorithms, Evolutionary computation, combinatorial optimization, knapsack problem
28Colin D. Walter, David Samyde Data Dependent Power Use in Multipliers. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2005 DBLP  DOI  BibTeX  RDF EMA, smart card, Differential power analysis, DPA, multiplication, multiplier, RSA cryptosystem
28Soonhak Kwon, Taekyoung Kwon 0002, Young-Ho Park 0001 New Architecture for Multiplication in GF(2m) and Comparisons with Normal and Polynomial Basis Multipliers for Elliptic Curve Cryptography. Search on Bibsonomy ICISC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF linear multiplier, NIST recommended binary fields, elliptic curve cryptography
28Kyle Kelley, David Money Harris Very High Radix Scalable Montgomery Multipliers. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Richard H. Turner, Roger F. Woods Highly efficient, limited range multipliers for LUT-based FPGA architectures. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Berk Sunar A Generalized Method for Constructing Subquadratic Complexity GF(2^k) Multipliers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Yong Ho Hwang, Sang Gyoo Sim, Pil Joong Lee Bit-Serial Multipliers for Exponentiation and Division in GF(2m) Using Irreducible AOP. Search on Bibsonomy ICCSA (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Irreducible AOP, Finite field, Exponentiation, Bit-serial multiplier
28Michele Elia, Manuel Leone A Basis-Independent Algorithm to Design Fast Parallel Multipliers over GF(2m). Search on Bibsonomy ITCC (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Jonathan Eckstein A practical general approximation criterion for methods of multipliers based on Bregman distances. Search on Bibsonomy Math. Program. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Jia Di, Jiann-Shiun Yuan, Ronald F. DeMara High Throughput Power-Aware FIR Filter Design Based on Fine-Grain Pipelining Multipliers and Adders. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Michael A. Soderstrand CSD multipliers for FPGA DSP applications. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Michele Elia, Manuel Leone On the Inherent Space Complexity of Fast Parallel Multipliers for GF(2/supm/). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF finite fields, parallel multiplier, optimal normal basis
28Lan-Da Van, Sung-Huang Lee A generalized methodology for lower-error area-efficient fixed-width multipliers. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Oscar Gustafsson, Andrew G. Dempster, Lars Wanhammar Extended results for minimum-adder constant integer multipliers. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Arash Reyhani-Masoleh, M. Anwarul Hasan Error Detection in Polynomial Basis Multipliers over Binary Extension Fields. Search on Bibsonomy CHES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF polynomial basis multiplier, fault tolerant computing, Finite fields, error detection
28Soonhak Kwon Low Complexity Bit Serial Systolic Multipliers over GF(2m) for Three Classes of Finite Fields. Search on Bibsonomy ICICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF systolic multiplier, finite field, basis, all one polynomial
28Chiou-Yng Lee, Erl-Huei Lu, Jau-Yien Lee Bit-Parallel Systolic Multipliers for GF(2m) Fields Defined by All-One and Equally Spaced Polynomials. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF AOP, finite field, Bit-parallel systolic multiplier, ESP
28Chakkapas Visavakul, Peter Y. K. Cheung, Wayne Luk A Digit-Serial Structure for Reconfigurable Multipliers. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
28Oscar Gustafsson, Henrik Ohlsson, Lars Wanhammar Minimum-adder integer multipliers using carry-save adders. Search on Bibsonomy ISCAS (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
28Kazimierz Wiatr, Ernest Jamro Implementation of Multipliers in FPGA Structures. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
28Tim Courtney, Richard H. Turner, Roger F. Woods Multiplexer Based Reconfiguration for Virtex Multipliers. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
28Alfonso Gerevini, Ivan Serina Lagrange Multipliers for Local Search on Planning Graphs. Search on Bibsonomy Local Search for Planning and Scheduling The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
28Michael Nicolaidis, Ricardo de Oliveira Duarte Fault-Secure Parity Prediction Booth Multipliers. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
28Amr G. Wassal, M. Anwarul Hasan, Mohamed I. Elmasry Low-Power Design of Finite Field Multipliers for Wireless Applications. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF architecture, low power, finite fields, multiplier
28Nilanjan Mukherjee 0001, Janusz Rajski, Jerzy Tyszer On testable multipliers for fixed-width data path architectures. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Fixed-Width Architectures, Built-in Self -Test, High-level Synthesis, Design for Testability
25Ivan Zholubak, Valeriy Hlukhov Validation of Multipliers for Elements of Extended Galois Fields GF(pn) and Multipliers VHDL-descriptions Generator. Search on Bibsonomy CSIT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
25Martin Langhammer, Bogdan Pasca 0001, Gregg Baeckler, Sergey Gribok Extracting INT8 Multipliers from INT18 Multipliers. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
25Pingxiuqi Chen, Shaik Nazeem Basha, Mehran Mozaffari Kermani, Reza Azarderakhsh, Jiafeng Xie FPGA Realization of Low Register Systolic All-One-Polynomial Multipliers Over $GF(2^{m})$ and Their Applications in Trinomial Multipliers. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Davide De Caro, Nicola Petra, Antonio Giuseppe Maria Strollo, Fabio Tessitore, Ettore Napoli Fixed-Width Multipliers and Multipliers-Accumulators With Min-Max Approximation Error. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
25Birgit Engels, Sven Oliver Krumke, Rainer Schrader, Christiane Zeck Integer Flow with Multipliers: The Special Case of Multipliers 1 and 2. Search on Bibsonomy CTW The full citation details ... 2009 DBLP  BibTeX  RDF
25W. Cary Huffman, Vanessa R. Job, Vera Pless Multipliers and Generalized Multipliers of Cyclic Objects and Cyclic Codes. Search on Bibsonomy J. Comb. Theory, Ser. A The full citation details ... 1993 DBLP  BibTeX  RDF
23Lelia Festila, Lorant Andras Szolga, Mihaela Cirlugea, Robert Groza Analog Multiplying/Weighting VLSI Cells for SVM Classifiers. Search on Bibsonomy KES (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF weighting circuits, th domain, square-root domain, current controlled amplifiers, analog multipliers
23Ioannis C. Demetriou Algorithm 863: L2WPMA, a Fortran 77 package for weighted least-squares piecewise monotonic data approximation. Search on Bibsonomy ACM Trans. Math. Softw. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF data smoothing, peak finding, piecewise monotonic, pound/dollar exchange rate, turning point, image processing, dynamic programming, Approximation, signal processing, histogram, spline, fitting, Lagrange multipliers, isotonic regression, divided difference
23Raymond J. Madachy Distributed Global Development Parametric Cost Modeling. Search on Bibsonomy ICSP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF parametric cost modeling, Detailed COCOMO, phasesensitive effort multipliers, labor distribution, subcontracting, cost estimation, global software development, distributed teams, COCOMO, Distributed development, software lifecycles
23Paraskevas Kalivas, Vassilis Vassilakis, Chris Meletis, Kiamal Z. Pekmestzi A New Low Latency Parallel FIR Filter Scheme. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF FIR filters, array multipliers
23James Alfred Walker, Julian Francis Miller Investigating the performance of module acquisition in cartesian genetic programming. Search on Bibsonomy GECCO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF digital adders, digital comparators, digital multipliers, modularity, cartesian genetic programming, computational effort, module acquisition
23Serafeim Polyzos Public works, investments and their regional economic effects. Search on Bibsonomy Oper. Res. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Investments Programming, Regional Economic Changes, Regional Multipliers
23Julien Lenoir, Sylvère Fonteneau Mixing Deformable and Rigid-Body Mechanics Simulation. Search on Bibsonomy Computer Graphics International The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Deformable continuous models, Constraints, Physically-based animation, Lagrange multipliers, Rigid bodies
23Wolfgang Dahmen, Torben Klint, Karsten Urban On Fictitious Domain Formulations for Maxwell's Equations. Search on Bibsonomy Found. Comput. Math. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Fictitious domain method, AMS Classification. 35Q60, 78A25, 65N99, 47F05, Lagrange multipliers, Saddle point problems, Maxwell's equations, 65J10
23Nilanjan Mukherjee 0001, Janusz Rajski, Jerzy Tyszer Testing Schemes for FIR Filter Structures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Complex multipliers, sign-extended adders, trees of adders, design for testability, FIR filters, pseudoexhaustive testing, state coverage, cell fault model
23David W. Lin, Jiann-Jone Chen Efficient Optimal Rate-Distortion Coding of Video Sequences Under Multiple Rate Constraints. Search on Bibsonomy ICIP (2) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF rate-distortion coding, multiple rate constraints, quantization scales, channel constraints, delayed-coding framework, multiple Lagrange multipliers, Lagrangian optimization theory, video coding, video coding, video sequences, efficient algorithm, optimal coding, buffer constraints
23Alexandre R. S. Romariz, P. U. A. Ferreira, J. V. Campêlo Jr., Marcio L. Graciano Jr., José C. da Costa Design of a Hybrid Digital-Analog Neural Co-Processor for Signal Processing. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF hybrid digital-analog neural co-processor, digitally-controlled multiplexing, CMOS analog circuits, VLSI, signal processing, VLSI design, multilayer perceptrons, VLSI implementation, hybrid architecture, capacitors, analog multipliers
23C. P. Ravikumar, Gurjeet S. Saund, Nidhi Agrawal A STAFAN-like functional testability measure for register-level circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF functional testability measure, register-level circuits, testability analysis programs, SCOAP, gate-level digital circuits, testability-driven synthesis, busses, F-STAFAN, Sun/SPARC workstation, performance evaluation, fault diagnosis, logic testing, high-level synthesis, statistical analysis, design for testability, fault simulation, fault coverage, circuit analysis computing, adders, multipliers, multiplexers, digital circuit, shift registers, logic gates, reliability theory, stuck-at fault model
23Enric Musoll, Jordi Cortadella Scheduling and resource binding for low power. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF data-path power budget, low-power data-paths, scheduling, low power, high level synthesis, high-level synthesis, power consumption, adders, multipliers, logic circuits, data flow graphs, trading off, network synthesis, functional units, resource binding, resource-binding
23B. Hamdi, Hakim Bederr, Michael Nicolaidis A tool for automatic generation of self-checking data paths. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF self-checking data paths, ALUs, shifters, double rail checkers, logic testing, built-in self test, microprocessors, adders, circuit CAD, multipliers, microcontrollers, register files, circuit design, CAD tools, automatic generation, automatic test software, dividers, circuit testing, parity checkers
23S. Krishnakumar, P. Suresh, S. Sadashiva Rao, M. P. Pareek, R. Gupta A single chip, pipelined, cascadable, multichannel, signal processor. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF delay lines, single chip signal processor, cascadable processor, multichannel signal processor, programmable delay line, memory mapped peripheral, online diagnostics, shadow accumulators, double metal CMOS process, 144 pin CPGA, VLSI, timing, pipeline processing, digital signal processing chips, pipelined processor, CMOS digital integrated circuits, array multipliers, DSP architecture, 2 micron
23Priyadarsan Patra, Donald S. Fussell Fully asynchronous, robust, high-throughput arithmetic structures. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF fully asynchronous structures, high-throughput arithmetic structures, bit serial adders, scaleability, VLSI, digital arithmetic, asynchronous circuits, adders, integrated logic circuits, multiplying circuits, RSA cryptosystems, delay-insensitive, bit serial multipliers
23D. V. Poornaiah, P. V. Ananda Mohan Design of a 3-bit Booth recoded novel VLSI concurrent multiplier-accumulator architecture. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF concurrent multiplier-accumulator architecture, second order modified Booth algorithm, sign extension bits minimization algorithm, sign-bit updating algorithm, multi-bit recoded parallel multipliers, computation time reduction, CMOS standard cell technology, 35 ns, 50 pF, parallel algorithms, VLSI, VLSI, parallel architectures, digital arithmetic, multiplication, CMOS logic circuits, multiplying circuits, accumulation, 1 micron
23Abdulah Abdulah Zadeh A Novel Approach for Multiplication over GF(2m) in Polynomial Basis Representation. Search on Bibsonomy ARES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Hybrid multiplier, GF, Polynomial (Standard) Basis, Finite Field
23José Luis Montaña, César Luis Alonso, Stefano Cagnoni, Mar Callau Computing Surrogate Constraints for Multidimensional Knapsack Problems Using Evolution Strategies. Search on Bibsonomy EvoWorkshops The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Kuan-Hung Chen, Yuan-Sun Chu A Low-Power Multiplier With the Spurious Power Suppression Technique. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Ashkan Hosseinzadeh Namin, Huapeng Wu, Majid Ahmadi Comb Architectures for Finite Field Multiplication in F(2^m). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA, elliptic curve cryptography, normal basis, redundant representation, Finite field multiplier
23Heumpil Cho, Earl E. Swartzlander Jr. Serial Parallel Multiplier Design in Quantum-dot Cellular Automata. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Juha Yli-Kaakinen, Tapio Saramäki A Simplified Structure for FIR Filters with an Adjustable Fractional Delay. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Julia L. Higle, Suvrajeet Sen Multistage stochastic convex programs: Duality and its implications. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF EVPI, Duality, Stochastic Programming
23Håkan Johansson, Per Löwenborg, K. Vengattaramane Reconstruction of two-periodic nonuniformly sampled signals using polynomial impulse response time-varying FIR filters. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Kavish Seth, K. N. Viswajith, S. Srinivasan 0001, V. Kamakoti 0001 Ultra Folded High-Speed Architectures for Reed-Solomon Decoders. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Stefan Mangard, Kai Schramm Pinpointing the Side-Channel Leakage of Masked AES Hardware Implementations. Search on Bibsonomy CHES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Zero-Offset DPA, Zero-Input DPA, Delay Chains, AES, DPA, Masking, Glitches
23Xuewu Du Results on Exactness Properties of the HP-ALF for Inequality Constraints. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Mariusz Rawski, Pawel Tomaszewicz, Henry Selvaraj, Tadeusz Luba Efficient Implementation of Digital Filters with Use of Advanced Synthesis Methods Targeted FPGA Architectures. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Yourim Yoon, Yong-Hyuk Kim, Byung Ro Moon An evolutionary lagrangian method for the 0/1 multiple knapsack problem. Search on Bibsonomy GECCO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF zero/one multiple knapsack problem, evolution strategy, lagrange multiplier
23Mohsen Saneei, Ali Afzali-Kusha, Zainalabedin Navabi Sign bit reduction encoding for low power applications. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF signed multiplier, sing extension, low power, switching activity, bus encoding
23Arash Reyhani-Masoleh, M. Anwar Hasan Low Complexity Bit Parallel Architectures for Polynomial Basis Multiplication over GF(2^{m}). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Michael J. Wirthlin Constant Coefficient Multiplication Using Look-Up Tables. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, DSP, multiplication, look-up table
23Ciaran McIvor, Máire McLoone, John V. McCanny FPGA Montgomery Multiplier Architectures - A Comparison. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Rajendra S. Katti, Joseph Brennan 0001 Low Complexity Multiplication in a Finite Field Using Ring Representation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ring representation, systolic arrays, Finite field multiplication
23Jia Di, Jiann-Shiun Yuan Power-aware pipelined multiplier design based on 2-dimensional pipeline gating. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF 2-D pipeline gating, power-awareness, array multiplier
23Kent E. Wires, Michael J. Schulte, Don McCarley FPGA Resource Reduction Through Truncated Multiplication. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Peter-Michael Seidel, Lee D. McFearin, David W. Matula Binary Multiplication Radix-32 and Radix-256. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Shivaling S. Mahant-Shetti, Poras T. Balsara, Carl Lemonds High performance low power array multiplier using temporal tiling. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Gareth Keane, Jonathan R. Spanier, Roger F. Woods The impact of data characteristics and hardware topology on hardware selection for low power DSP. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
23Pei-Chi Wu Multiplicative, Congruential Random-Number Generators with Multiplier ± 2k1 ± 2k2 and modulus 2p -; 1. Search on Bibsonomy ACM Trans. Math. Softw. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF cycle length, multiplicative congruential random-number generators, efficiency, portability, spectral test
20Mustafa Gök, Metin Mete Özbilen Evaluation of Sticky-Bit Generation Methods for Floating-Point Multipliers. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Floating-point multiplier, Sticky-bit, Rounding
20Sjur Didrik Flåm, Hubertus Th. Jongen, Oliver Stein Slopes of shadow prices and Lagrange multipliers. Search on Bibsonomy Optim. Lett. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Optimal value function, Shadow price, Karush-Kuhn-Tucker system, Sensitivity, Matrix inversion
20Jean-Luc Beuchat, Jean-Michel Muller Automatic Generation of Modular Multipliers for FPGA Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Udo Krautz, Markus Wedler, Wolfgang Kunz, Kai Weber 0001, Christian Jacobi 0002, Matthias Pflanz Verifying full-custom multipliers by Boolean equivalence checking and an arithmetic bit level proof. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Chiou-Yng Lee, Pramod Kumar Meher Efficient Bit-Parallel Multipliers in Composite Fields. Search on Bibsonomy APSCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Horácio C. Neto, Mário P. Véstias Decimal multiplier on FPGA using embedded binary multipliers. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 2778 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license