The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multiprocessors with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1966-1977 (16) 1978-1982 (24) 1983-1984 (32) 1985 (19) 1986 (35) 1987 (38) 1988 (71) 1989 (92) 1990 (117) 1991 (129) 1992 (132) 1993 (128) 1994 (151) 1995 (163) 1996 (138) 1997 (158) 1998 (101) 1999 (144) 2000 (120) 2001 (86) 2002 (85) 2003 (110) 2004 (116) 2005 (162) 2006 (163) 2007 (190) 2008 (185) 2009 (158) 2010 (139) 2011 (97) 2012 (59) 2013 (57) 2014 (72) 2015 (48) 2016 (39) 2017 (43) 2018 (29) 2019 (25) 2020 (22) 2021-2022 (21) 2023-2024 (9)
Publication types (Num. hits)
article(1129) book(3) incollection(15) inproceedings(2474) phdthesis(101) proceedings(1)
Venues (Conferences, Journals, ...)
IEEE Trans. Parallel Distribut...(162) IEEE Trans. Computers(132) ISCA(98) IPDPS(95) HPCA(73) ICPP(73) J. Parallel Distributed Comput...(70) SC(61) ICS(54) Euro-Par(52) IPPS(50) ICPP (1)(45) PACT(45) SIGMETRICS(43) DAC(40) Parallel Comput.(39) More (+10 of total 632)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4193 occurrences of 1488 keywords

Results
Found 3723 publication records. Showing 3723 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
30Alain Raynaud, Zheng Zhang 0001, Josep Torrellas Distance-Adaptive Update Protocols for Scalable Shared-Memory Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF update protocols, cache coherence protocols, scalable shared-memory multiprocessors
30Basem A. Nayfeh, Kunle Olukotun, Jaswinder Pal Singh The Impact of Shared-Cache Clustering in Small-Scale Shared-Memory Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF shared-cache clustering, small-scale shared-memory multiprocessors, shared global bus, low-latency interconnections, performance evaluation, shared memory systems, cache storage, memory system, multichip module, L2 cache, processor performance, high-bandwidth, bus contention
30I-Shyan Hwang Performance evaluation of a WDMA OIDSM multiprocessors. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF WDMA OIDSM, WDMA, photonic network, reservation requests, coherence level control signals, performance evaluation, performance evaluation, interconnection network, multiprocessor interconnection networks, shared memory multiprocessors, shared memory systems, distributed shared memory, distributed memory systems, wavelength division multiplexing, optical interconnections, optically interconnected, access protocols, media access protocol
30Dean M. Tullsen, Susan J. Eggers Effective Cache Prefetching on Bus-Based Multiprocessors Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF bus-based multiprocessors, memory latency hiding, false sharing, cache prefetching
30Yong-Kim Chong, Kai Hwang 0001 Performance Analysis of Four Memory Consistency Models for Multithreaded Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF latency hiding techniques, performance evaluation, Distributed shared memory, stochastic Petri nets, multithreaded processors, memory consistency models, context switching, scalable multiprocessors
30Fredrik Dahlgren, Michel Dubois 0001, Per Stenström Sequential Hardware Prefetching in Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Hardware-controlled prefetching, sequential prefetching, performance evaluation, shared-memory multiprocessors, memory consistency models, latency tolerance
30Thomas Rauber, Gudula Rünger Iterated Runge-Kutta methods on distributed memory multiprocessors. Search on Bibsonomy PDP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF iterated Runge-Kutta, predictor-corrector scheme, embedded formulae, parallel algorithms, performance analysis, iterative methods, iteration method, distributed memory systems, differential equations, ordinary differential equations, Runge-Kutta methods, Runge-Kutta methods, distributed memory multiprocessors
30Josef Altmann, Ansgar Böhm Algorithm-based fault-tolerant programming in scientific computation on multiprocessors. Search on Bibsonomy PDP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF algorithm-based fault-tolerant programming, parallel run-time environment, error processing techniques, execution time overhead, master/slave programming model, parallel algorithms, parallel algorithms, parallel programming, multiprocessors, programming environments, multiprocessing systems, scientific computation, software fault tolerance
30Andreas Erzmann, M. Hadeler, Christian Müller-Schloer A Model for Efficient Programming of Dynamic Applications on Distributed Memory Multiprocessors. Search on Bibsonomy Euro-Par The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Programming Model, Dynamic Load Balancing, Block Matching, Distributed Memory Multiprocessors
30Weiming Gu Performance evaluation of a seismic data analysis kernel on the KSR multiprocessors. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF seismic data analysis kernel, KSR multiprocessors, shared memory parallel machines, parallelised g5 kernel code, 32-node KSR-1, 64-node KSR-2, performance evaluation, applications, data analysis, shared memory systems, software performance evaluation, computational performance, I/O performance, geophysical signal processing, geophysical signal processing, seismology, seismology, input-output programs, geophysics computing
30Matthew Haines, A. P. Wim Böhm An initial comparison of implicit and explicit programming styles for distributed memory multiprocessors. Search on Bibsonomy HICSS (2) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF implicit programming styles, explicit programming styles, parallel task management, ease of programming, programming effort, performance, parallel programming, parallel programming, efficiency, programming language, distributed memory systems, parallel languages, functional languages, functional languages, distributed memory multiprocessors, distributed data management, run-time support
30Bob Janssens, W. Kent Fuchs The Performance of Cache-Based Error Recovery in Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF cache-based error recovery performance, cache-based checkpointing, rollback error recovery, shared-memorymultiprocessors, inherent redundancy, computation state, rollback propagation, EncoreMultimax, recovery schemes, cache-based schemes, low performance overhead, checkpoint interval, performance evaluation, performance evaluation, virtual machines, multiprocessors, redundancy, memory hierarchy, shared memory systems, system recovery, buffer storage, parallel applications, cache coherence protocol, transient errors, cache replacement policy, address traces
30Shubhendu S. Mukherjee, Mark D. Hill An evaluation of directory protocols for medium-scale shared-memory multiprocessors. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1994 DBLP  DOI  BibTeX  RDF directory protocols, multiprocessors, shared-memory, cache coherence, gray code
30Qing Yang 0001, Hong Wang 0003 A New Graph Approach to Minimizing Processor Fragmentation in Hypercube Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF graph approach, minimizing processor fragmentation, primecube graph, simulation, performance evaluation, multiprocessing systems, hypercube networks, digital simulation, hypercube multiprocessors
30Chita R. Das, Prasant Mohapatra, Lei Tien, Laxmi N. Bhuyan An Availability Model for MIN-Based Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF MIN-based multiprocessors, task-based availability, HARP, steady state availabilities, transient availabilities, simulation, multiprocessor interconnection networks, Markov processes, multistage interconnection network, software package, processing elements, memory modules, availability model
30Gita Alaghband, Muhammad S. Benten, Rüdiger Jakob, Harry F. Jordan, Aruna V. Ramanan Language Portability Across Shared Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF low-levelsynchronization, asynchronous variable operations, portable parallelprograms, macro processor, MIMD multiprocessor, sharedmemory, shared memorysystems, parallel programming, synchronization, shared memory multiprocessors, mutual exclusion, portability, parallel language, parallel languages, software portability, Force, parallel programming language
30Maurice Herlihy, J. Eliot B. Moss Lock-Free Garbage Collection for Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF storagemanagement, garbage collection, multiprocessing systems, shared-memory multiprocessors, storage management, lock-free, global synchronization
30Michel Dubois 0001, Christoph Scheurich Memory Access Dependencies in Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF memory access dependencies, logical concurrency model, pipelining, multiprocessing systems, rules, shared-memory multiprocessors, multiprogramming, storage allocation, multiprocessor architectures, private caches
29Balkrishna Ramkumar, Laxmikant V. Kalé Machine Independent AND and OR Parallel Execution of Logic Programs: Part I-The Binding Environment. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF binding environment, nonshared memory multiprocessors, Chare Kernel, parallel programming, compiler, logic programming, logic programs, multiprocessing systems, shared memory multiprocessors, parallel execution
27Minyeol Seo, Ha Seok Kim, Ji Chan Maeng, Jimin Kim, Minsoo Ryu An Effective Design of Master-Slave Operating System Architecture for Multiprocessor Embedded Systems. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Master-slave, remote invocation, kernel configuration, multiprocessor, design issues
27Ozcan Ozturk 0001, Guilin Chen, Mahmut T. Kandemir Optimizing code parallelization through a constraint network based approach. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF compiler, constraint network, chip multiprocessing
27R. Grindley, Tarek S. Abdelrahman, Stephen Dean Brown, S. Caranci, D. DeVries, Benjamin Gamsa, A. Grbic, M. Gusat, R. Ho, Orran Krieger, Guy G. Lemieux, K. Loveless, Naraig Manjikian, P. McHardy, Sinisa Srbljic, Michael Stumm, Zvonko G. Vranesic, Zeljko Zilic The NUMAchine Multiprocessor. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Christian Clémençon, Bodhisattwa Mukherjee, Karsten Schwan Distributed Shared Abstractions (DSA) on Multiprocessor. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF application dependent memory consistency, fragmented objects, topology, Distributed shared memory, asynchronous events
27Beng-Hong Lim, Ricardo Bianchini Limits on the Performance Benefits of Multithreading and Prefetching. Search on Bibsonomy SIGMETRICS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
27Shisheng Shang, Kai Hwang 0001 Distributed Hardwired Barrier Synchronization for Scalable Multiprocessor Clusters. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
27Pradeep K. Dubey, George B. Adams III, Michael J. Flynn Evaluating Performance Tradeoffs Between Fine-Grained and Coarse-Grained Alternatives. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
27Masakazu Furuichi, Kazuo Taki, Nobuyuki Ichiyoshi A Multi-Level Load Balancing Scheme for OR-Parallel Exhaustive Search Programs on the Multi-PSI. Search on Bibsonomy PPoPP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
25Anders P. Ravn, Martin Schoeberl Cyclic executive for safety-critical Java on chip-multiprocessors. Search on Bibsonomy JTRES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
25Wojciech M. Golab Brief announcement: locally-accessible implementations for distributed shared memory multiprocessors. Search on Bibsonomy PODC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF locally-accessible implementations, distributed shared memory, shared objects, remote memory references
25Myoung-Jo Jung, Yeong Rak Seong, Cheol-Hoon Lee Optimal RM scheduling for simply periodic tasks on uniform multiprocessors. Search on Bibsonomy ICHIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Martin Schoeberl, Wolfgang Puffitsch, Benedikt Huber Towards Time-Predictable Data Caches for Chip-Multiprocessors. Search on Bibsonomy SEUS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Wan-Yu Lee, Iris Hui-Ru Jiang VIFI-CMP: variability-tolerant chip-multiprocessors for throughput and power. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF chip-multiprocessor, process variation, monte carlo analysis
25Noel Eisley, Li-Shiuan Peh, Li Shang Leveraging on-chip networks for data cache migration in chip multiprocessors. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF network-driven computing, interconnection network, CMP, chip-multiprocessor, migration
25Sevin Fide, Stephen F. Jenks Architecture optimizations for synchronization and communication on chip multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Nagesh B. Lakshminarayana, Hyesoon Kim Understanding performance, power and energy behavior in asymmetric multiprocessors. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Liping Xue, Mahmut T. Kandemir, Guilin Chen, Feihui Li, Ozcan Ozturk 0001, Rajaraman Ramanarayanan, Balaji Vaidyanathan Locality-Aware Distributed Loop Scheduling for Chip Multiprocessors. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Ozcan Ozturk 0001, G. Chen, Mahmut T. Kandemir, Mustafa Karaköy An Integer Linear Programming Based Approach to Simultaneous Memory Space Partitioning and Data Allocation for Chip Multiprocessors. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Shih-Wei Liao, Zhaohui Du, Gansha Wu, Guei-Yuan Lueh Data and Computation Transformations for Brook Streaming Applications on Multiprocessors. Search on Bibsonomy CGO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Ryan E. Grant, Ahmad Afsahi Power-performance efficiency of asymmetric multiprocessors for multi-threaded scientific applications. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Engin Ipek, José F. Martínez, Bronis R. de Supinski, Sally A. McKee, Martin Schulz 0001 Dynamic program phase detection in distributed shared-memory multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Austen McDonald, JaeWoong Chung, Hassan Chafi, Chi Cao Minh, Brian D. Carlstrom, Lance Hammond, Christos Kozyrakis, Kunle Olukotun Characterization of TCC on Chip-Multiprocessors. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Xia Jun, Xue-Jun Yang A Data Transformations Based Approach for Optimizing Memory and Cache Locality on Distributed Memory Multiprocessors. Search on Bibsonomy APPT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Philo Juang, Qiang Wu, Li-Shiuan Peh, Margaret Martonosi, Douglas W. Clark Coordinated, distributed, formal energy management of chip multiprocessors. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF power, dynamic voltage scaling
25H. Sarojadevi, S. K. Nandy 0001, Srinivasan Balakrishnan On the Correctness of Program Execution When Cache Coherence Is Maintained Locally at Data-Sharing Boundaries in Distributed Shared Memory Multiprocessors. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF programmer-centric framework, release consistency memory model, performance evaluation, cache coherence, Distributed shared-memory multiprocessor
25Sanjoy K. Baruah Optimal Utilization Bounds for the Fixed-Priority Scheduling of Periodic Task Systems on Identical Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25JoAnn M. Paul, Donald E. Thomas, Alex Bobrek Benchmark-based design strategies for single chip heterogeneous multiprocessors. Search on Bibsonomy CODES+ISSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF heterogeneous multiprocessing, scenario-oriented design, benchmarking, systems-on-chips (SoCs)
25Naraig Manjikian, Huang Jin, James Reed, Nathan Cordeiro Architecture and Implementation of Chip Multiprocessors: Custom Logic Components and Software for Rapid Prototyping. Search on Bibsonomy ICPP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Khaled Z. Ibrahim, Gregory T. Byrd, Eric Rotenberg Slipstream Execution Mode for CMP-Based Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Daehyun Kim, Mainak Chaudhuri, Mark A. Heinrich Active Memory Techniques for ccNUMA Multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Feng Liu, Vipin Chaudhary Extending OpenMP for Heterogeneous Chip Multiprocessors. Search on Bibsonomy ICPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Manuel E. Acacio, José González 0002, José M. García 0001, José Duato The Use of Prediction for Accelerating Upgrade Misses in cc-NUMA Multiprocessors. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Philippas Tsigas, Yi Zhang 0004 Evaluating the performance of non-blocking synchronization on shared-memory multiprocessors. Search on Bibsonomy SIGMETRICS/Performance The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Anant Agarwal, David A. Kranz, Rajeev Barua, Venkat Natarajan Optimal Tiling for Minimizing Communication in Distributed Shared-Memory Multiprocessors. Search on Bibsonomy Compiler Optimizations for Scalable Parallel Systems Languages The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Kenneth E. Hoganson Mapping Parallel Application Communication Topology to Rhombic Overlapping-Cluster Multiprocessors. Search on Bibsonomy J. Supercomput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF cluster, parallel programming, interconnection network, shared-memory multiprocessor, overlapped cluster
25Hung-Chang Hsiao, Chung-Ta King The Thread-Based Protocol Engines for CC-NUMA Multiprocessors. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Xavier Martorell, Julita Corbalán, Dimitrios S. Nikolopoulos, Nacho Navarro, Eleftherios D. Polychronopoulos, Theodore S. Papatheodorou, Jesús Labarta A Tool to Schedule Parallel Applications on Multiprocessors: The NANOS CPU MANAGER. Search on Bibsonomy JSSPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Hwakyung Rim, Ju-wook Jang, Sung-Chun Kim An Efficient Dynamic Load Balancing using the Dimension Exchange Method for Balancing of Quantized Loads on Hypercube Multiprocessors. Search on Bibsonomy IPPS/SPDP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25David R. Helman, Joseph F. JáJá Prefix Computations on Symmetric Multiprocessors. Search on Bibsonomy IPPS/SPDP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Keqin Li 0001, Xian-He Sun Average-Case Analysis of Isospeed Scalability of Parallel Computations on Multiprocessors. Search on Bibsonomy IPPS/SPDP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Manfred Feil, Andreas Uhl Algorithms and Programming Paradigms for 2-D Wavelet Packet Decomposition on Multicomputers and Multiprocessors. Search on Bibsonomy ACPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Charles E. Leiserson Design and Analysis of Algorithms for Shared-Memory Multiprocessors (Abstract). Search on Bibsonomy WADS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Dimitrios S. Nikolopoulos, Eleftherios D. Polychronopoulos, Theodore S. Papatheodorou Enhancing the Performance of Auroscheduling in Distributed Shared Memory Multiprocessors. Search on Bibsonomy Euro-Par The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
25Edward D. Moreno, Sergio Takeo Kofuji, Marcelo H. Cintra Prefetching and Multithreading Performance in Bus-Based Multiprocessors with Petri Nets. Search on Bibsonomy Euro-Par The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25Per Stenström, Jonas Skeppstedt A Performance Tuning Approach for Shared-Memory Multiprocessors. Search on Bibsonomy Euro-Par The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25V. Carl Hamacher, Hong Jiang Performance and Configuration of Hierarchical Ring Networks for Multiprocessors. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25Dongming Jiang, Jaswinder Pal Singh Parallel Shear-Warp Volume Rendering on Shared Address Space Multiprocessors. Search on Bibsonomy PPoPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25Yunseok Rhee, Joonwon Lee A Scalable Cache Coherent Architecture for Large-Scale Mesh-Connected Multiprocessors. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF mesh-interconnect, directory-based protocol, multiprocessor, wormhole routing, cache coherence, dimension ordered routing
25Dan Teodosiu 0002, Joel Baxter, Kinshuk Govil, John Chapin, Mendel Rosenblum, Mark Horowitz Hardware Fault Containment in Scalable Shared-Memory Multiprocessors. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25Saravanan Agasaveeran, Qiang Li Distributed Job Scheduling in SCI Local - Area Multiprocessors. Search on Bibsonomy HPDC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
25Ranga S. Ramanujan, Jordan Bonney, Kenneth J. Thurber, Rakesh Jha, Howard Jay Siegel A Framework for Automated Software Partitioning and Mapping for Distributed Multiprocessors. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF software mapping, parallel processing, software partitioning
25Montse Peiron, Mateo Valero, Eduard Ayguadé, Tomás Lang Vector Multiprocessors with Arbitrated Memory Access. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
25Steven Cameron Woo, Jaswinder Pal Singh, John L. Hennessy The Performance Advantages of Integrating Block Data Trabsfer in Cache-Coherent Multiprocessors. Search on Bibsonomy ASPLOS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
25Harjinder S. Sandhu, Benjamin Gamsa, Songnian Zhou The Shared Regions Approach to Software Cache Coherence on Multiprocessors. Search on Bibsonomy PPoPP The full citation details ... 1993 DBLP  DOI  BibTeX  RDF C++
25MenChow Chiang, Gurindar S. Sohi Experience with Mean Value Analysis Models for Evaluating Shared Bus, Throughput-Oriented Multiprocessors. Search on Bibsonomy SIGMETRICS The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
25Zarka Cvetanovic, Edward G. Freedman, Charles Nofsinger Perfect Benchmarks decomposition and performance on VAX multiprocessors. Search on Bibsonomy SC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
25Sigurd L. Lillevik, John L. Easterday Throughput of multiprocessors with replicated shared memories. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
25Jeffery A. Brown, Rakesh Kumar 0002, Dean M. Tullsen Proximity-aware directory-based coherence for multi-core processor architectures. Search on Bibsonomy SPAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF chip multiprocessors, coherence
25Yujia Jin, Nadathur Satish, Kaushik Ravindran, Kurt Keutzer An automated exploration framework for FPGA-based soft multiprocessor systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF IPv4 packet forwarding, soft multiprocessors, FPGA, design space exploration, integer linear programming
25Biplab Kumer Sarker, Takaki Mori, Toshiya Hirata, Kuniaki Uehara Parallel Algorithms for Mining Association Rules in Time Series Data. Search on Bibsonomy ISPA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Symbols of Multi-streams, Motion Data, Data mining, Association Rules, Time Series, Shared Memory Multiprocessors
25Lynn Choi, Pen-Chung Yew Compiler Analysis for Cache Coherence: Interprocedural Array Data-Flow Analysis and Its Impact on Cache Performance. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Compiler, shared-memory multiprocessors, data-flow analysis, cache coherence, interprocedural analysis
25Hung-Chang Hsiao, Chung-Ta King MICA: A Memory and Interconnect Simulation Environment for Cache-Based Architectures. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Trace-driven, Simulation environment, Distributed Shared Memory Multiprocessors
25LihChyun Shu, Michal Young Chopping and versioning real-time transactions to avoid remote blocking. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF real time transaction versioning, remote blocking, versioning protocols, chopping analysis, pure locking protocols, 1-version serializability, design time information, simple data structures, small bounded overheads, worst case access times, scheduling, real-time systems, protocols, concurrency control, multiprocessors, multiprocessing systems, transaction processing, configuration management, schedulability analysis, hard real time systems, critical sections, locking protocols, database concurrency control
25Mark A. Heinrich, Vijayaraghavan Soundararajan, John L. Hennessy, Anoop Gupta A Quantitative Analysis of the Performance and Scalability of Distributed Shared Memory. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF flexible node controller, bitvector, dynamic pointer allocation, controller occupancy, distributed shared memory, performance comparison, FLASH, Cache coherence protocols, MAGIC, COMA, SCI, scalable multiprocessors
25Hock-Beng Lim, Pen-Chung Yew An Integrated Framework for Compiler-Directed Cache Coherence and Data Prefetching. Search on Bibsonomy LCPC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Compiler-directed Cache Coherence, Memory Latency Hiding, Shared-memory Multiprocessors, Data Prefetching
25Jyh-Herng Chow, Vivek Sarkar False Sharing Elimination by Selection of Runtime Scheduling Parameters. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF runtime scheduling, compilers, shared-memory multiprocessors, parallel loops, false sharing
25Evan Torrie, Margaret Martonosi, Chau-Wen Tseng, Mary W. Hall Characterizing the Memory Behavior of Compiler-Parallelized Applications. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF false and true sharing, parallelism granularity, memory hierarchies, shared-memory multiprocessors, Parallelizing compilers, cache performance
25José M. García 0001, A. Flores A Novel Approach to Improve the Performance of Interconnection Networks with Hot - Spots. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF physically distributed memory, single shared memory address space, two-dimensional k-ary n-cube networks, deterministic routing algorithm, interconnection networks, congestion control, message passing, multiprocessor interconnection networks, parallel machines, shared memory multiprocessors, wormhole routing, virtual channels, adaptive algorithms, performance improvement, hot-spots, reconfigurable networks, reconfigurable network
23Mitsuhisa Sato OpenMP: Parallel Programming API for Shared Memory Multiprocessors and On-Chip Multiprocessors. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF deign experience, CMP, chip multiprocessor, functional verification, speculative multithreading
23Peter R. Bailey, Malcolm C. Newey Implementing ML on Distributed Memory Multiprocessors. Search on Bibsonomy SIGPLAN Workshop The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
23Hongyang Sun 0001, Yangjie Cao, Wen-Jing Hsu Efficient Adaptive Scheduling of Multiprocessors with Stable Parallelism Feedback. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF control-theoretic analysis, malleable parallel jobs, nonclairvoyant scheduling, parallelism feedback, stability, multiprocessors, competitive analysis, Adaptive scheduling, two-level scheduling
23Anne Benoit, Paul Renaud-Goud, Yves Robert, Rami G. Melhem Energy-Aware Mappings of Series-Parallel Workflows onto Chip Multiprocessors. Search on Bibsonomy ICPP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF power consumption minimization, chip multiprocessors, scheduling algorithms, series-parallel graphs
23Dai N. Bui, Hiren D. Patel, Edward A. Lee Deploying Hard Real-Time Control Software on Chip-Multiprocessors. Search on Bibsonomy RTCSA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Chip-multiprocessors, Real-time software, Discrete-Event
23Enric Herrero, José González 0002, Ramon Canal Elastic cooperative caching: an autonomous dynamically adaptive memory hierarchy for chip multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF elastic cooperative caching, tiled microarchitectures, chip multiprocessors, memory hierarchy
23Zhangxi Tan, Andrew Waterman, Rimas Avizienis, Yunsup Lee, Henry Cook, David A. Patterson 0001, Krste Asanovic RAMP gold: an FPGA-based architecture simulator for multiprocessors. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF simulation, FPGA, multiprocessors
23Muhammad Yasir Qadri, Klaus D. McDonald-Maier A Fuzzy Logic Reconfiguration Engine for Symmetric Chip Multiprocessors. Search on Bibsonomy CISIS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Symmetric Chip multiprocessors, Performance, Fuzzy Logic, Energy, Reconfigurable Hardware
23Shinpei Kato, Nobuyuki Yamasaki, Yutaka Ishikawa Semi-partitioned Scheduling of Sporadic Task Systems on Multiprocessors. Search on Bibsonomy ECRTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Semi-partitioning, Real-time systems, Multiprocessors, Scheduling algorithms
23Antonino Tumeo, Christian Pilato, Gianluca Palermo, Fabrizio Ferrandi, Donatella Sciuto HW/SW methodologies for synchronization in FPGA multiprocessors. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, synchronization, multiprocessors
23Noriko Takagi, Hiroshi Sasaki 0001, Masaaki Kondo, Hiroshi Nakamura Cooperative shared resource access control for low-power chip multiprocessors. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power, chip multiprocessors, cache partitioning, dvfs, resource conflict
23Jacob Leverich, Hideho Arakida, Alex Solomatnikov, Amin Firoozshahian, Mark Horowitz, Christos Kozyrakis Comparative evaluation of memory models for chip multiprocessors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF streaming memory, parallel programming, Chip multiprocessors, cache coherence, locality optimizations
23Pablo Abad Fidalgo, Valentin Puente, José-Ángel Gregorio Reducing the Interconnection Network Cost of Chip Multiprocessors. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Chip Multiprocessors, Deadlock, Router Design
Displaying result #101 - #200 of 3723 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license