|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 4193 occurrences of 1488 keywords
|
|
|
Results
Found 3723 publication records. Showing 3723 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
30 | Alain Raynaud, Zheng Zhang 0001, Josep Torrellas |
Distance-Adaptive Update Protocols for Scalable Shared-Memory Multiprocessors. |
HPCA |
1996 |
DBLP DOI BibTeX RDF |
update protocols, cache coherence protocols, scalable shared-memory multiprocessors |
30 | Basem A. Nayfeh, Kunle Olukotun, Jaswinder Pal Singh |
The Impact of Shared-Cache Clustering in Small-Scale Shared-Memory Multiprocessors. |
HPCA |
1996 |
DBLP DOI BibTeX RDF |
shared-cache clustering, small-scale shared-memory multiprocessors, shared global bus, low-latency interconnections, performance evaluation, shared memory systems, cache storage, memory system, multichip module, L2 cache, processor performance, high-bandwidth, bus contention |
30 | I-Shyan Hwang |
Performance evaluation of a WDMA OIDSM multiprocessors. |
ICPADS |
1996 |
DBLP DOI BibTeX RDF |
WDMA OIDSM, WDMA, photonic network, reservation requests, coherence level control signals, performance evaluation, performance evaluation, interconnection network, multiprocessor interconnection networks, shared memory multiprocessors, shared memory systems, distributed shared memory, distributed memory systems, wavelength division multiplexing, optical interconnections, optically interconnected, access protocols, media access protocol |
30 | Dean M. Tullsen, Susan J. Eggers |
Effective Cache Prefetching on Bus-Based Multiprocessors |
ACM Trans. Comput. Syst. |
1995 |
DBLP DOI BibTeX RDF |
bus-based multiprocessors, memory latency hiding, false sharing, cache prefetching |
30 | Yong-Kim Chong, Kai Hwang 0001 |
Performance Analysis of Four Memory Consistency Models for Multithreaded Multiprocessors. |
IEEE Trans. Parallel Distributed Syst. |
1995 |
DBLP DOI BibTeX RDF |
latency hiding techniques, performance evaluation, Distributed shared memory, stochastic Petri nets, multithreaded processors, memory consistency models, context switching, scalable multiprocessors |
30 | Fredrik Dahlgren, Michel Dubois 0001, Per Stenström |
Sequential Hardware Prefetching in Shared-Memory Multiprocessors. |
IEEE Trans. Parallel Distributed Syst. |
1995 |
DBLP DOI BibTeX RDF |
Hardware-controlled prefetching, sequential prefetching, performance evaluation, shared-memory multiprocessors, memory consistency models, latency tolerance |
30 | Thomas Rauber, Gudula Rünger |
Iterated Runge-Kutta methods on distributed memory multiprocessors. |
PDP |
1995 |
DBLP DOI BibTeX RDF |
iterated Runge-Kutta, predictor-corrector scheme, embedded formulae, parallel algorithms, performance analysis, iterative methods, iteration method, distributed memory systems, differential equations, ordinary differential equations, Runge-Kutta methods, Runge-Kutta methods, distributed memory multiprocessors |
30 | Josef Altmann, Ansgar Böhm |
Algorithm-based fault-tolerant programming in scientific computation on multiprocessors. |
PDP |
1995 |
DBLP DOI BibTeX RDF |
algorithm-based fault-tolerant programming, parallel run-time environment, error processing techniques, execution time overhead, master/slave programming model, parallel algorithms, parallel algorithms, parallel programming, multiprocessors, programming environments, multiprocessing systems, scientific computation, software fault tolerance |
30 | Andreas Erzmann, M. Hadeler, Christian Müller-Schloer |
A Model for Efficient Programming of Dynamic Applications on Distributed Memory Multiprocessors. |
Euro-Par |
1995 |
DBLP DOI BibTeX RDF |
Programming Model, Dynamic Load Balancing, Block Matching, Distributed Memory Multiprocessors |
30 | Weiming Gu |
Performance evaluation of a seismic data analysis kernel on the KSR multiprocessors. |
IPPS |
1995 |
DBLP DOI BibTeX RDF |
seismic data analysis kernel, KSR multiprocessors, shared memory parallel machines, parallelised g5 kernel code, 32-node KSR-1, 64-node KSR-2, performance evaluation, applications, data analysis, shared memory systems, software performance evaluation, computational performance, I/O performance, geophysical signal processing, geophysical signal processing, seismology, seismology, input-output programs, geophysics computing |
30 | Matthew Haines, A. P. Wim Böhm |
An initial comparison of implicit and explicit programming styles for distributed memory multiprocessors. |
HICSS (2) |
1995 |
DBLP DOI BibTeX RDF |
implicit programming styles, explicit programming styles, parallel task management, ease of programming, programming effort, performance, parallel programming, parallel programming, efficiency, programming language, distributed memory systems, parallel languages, functional languages, functional languages, distributed memory multiprocessors, distributed data management, run-time support |
30 | Bob Janssens, W. Kent Fuchs |
The Performance of Cache-Based Error Recovery in Multiprocessors. |
IEEE Trans. Parallel Distributed Syst. |
1994 |
DBLP DOI BibTeX RDF |
cache-based error recovery performance, cache-based checkpointing, rollback error recovery, shared-memorymultiprocessors, inherent redundancy, computation state, rollback propagation, EncoreMultimax, recovery schemes, cache-based schemes, low performance overhead, checkpoint interval, performance evaluation, performance evaluation, virtual machines, multiprocessors, redundancy, memory hierarchy, shared memory systems, system recovery, buffer storage, parallel applications, cache coherence protocol, transient errors, cache replacement policy, address traces |
30 | Shubhendu S. Mukherjee, Mark D. Hill |
An evaluation of directory protocols for medium-scale shared-memory multiprocessors. |
International Conference on Supercomputing |
1994 |
DBLP DOI BibTeX RDF |
directory protocols, multiprocessors, shared-memory, cache coherence, gray code |
30 | Qing Yang 0001, Hong Wang 0003 |
A New Graph Approach to Minimizing Processor Fragmentation in Hypercube Multiprocessors. |
IEEE Trans. Parallel Distributed Syst. |
1993 |
DBLP DOI BibTeX RDF |
graph approach, minimizing processor fragmentation, primecube graph, simulation, performance evaluation, multiprocessing systems, hypercube networks, digital simulation, hypercube multiprocessors |
30 | Chita R. Das, Prasant Mohapatra, Lei Tien, Laxmi N. Bhuyan |
An Availability Model for MIN-Based Multiprocessors. |
IEEE Trans. Parallel Distributed Syst. |
1993 |
DBLP DOI BibTeX RDF |
MIN-based multiprocessors, task-based availability, HARP, steady state availabilities, transient availabilities, simulation, multiprocessor interconnection networks, Markov processes, multistage interconnection network, software package, processing elements, memory modules, availability model |
30 | Gita Alaghband, Muhammad S. Benten, Rüdiger Jakob, Harry F. Jordan, Aruna V. Ramanan |
Language Portability Across Shared Memory Multiprocessors. |
IEEE Trans. Parallel Distributed Syst. |
1993 |
DBLP DOI BibTeX RDF |
low-levelsynchronization, asynchronous variable operations, portable parallelprograms, macro processor, MIMD multiprocessor, sharedmemory, shared memorysystems, parallel programming, synchronization, shared memory multiprocessors, mutual exclusion, portability, parallel language, parallel languages, software portability, Force, parallel programming language |
30 | Maurice Herlihy, J. Eliot B. Moss |
Lock-Free Garbage Collection for Multiprocessors. |
IEEE Trans. Parallel Distributed Syst. |
1992 |
DBLP DOI BibTeX RDF |
storagemanagement, garbage collection, multiprocessing systems, shared-memory multiprocessors, storage management, lock-free, global synchronization |
30 | Michel Dubois 0001, Christoph Scheurich |
Memory Access Dependencies in Shared-Memory Multiprocessors. |
IEEE Trans. Software Eng. |
1990 |
DBLP DOI BibTeX RDF |
memory access dependencies, logical concurrency model, pipelining, multiprocessing systems, rules, shared-memory multiprocessors, multiprogramming, storage allocation, multiprocessor architectures, private caches |
29 | Balkrishna Ramkumar, Laxmikant V. Kalé |
Machine Independent AND and OR Parallel Execution of Logic Programs: Part I-The Binding Environment. |
IEEE Trans. Parallel Distributed Syst. |
1994 |
DBLP DOI BibTeX RDF |
binding environment, nonshared memory multiprocessors, Chare Kernel, parallel programming, compiler, logic programming, logic programs, multiprocessing systems, shared memory multiprocessors, parallel execution |
27 | Minyeol Seo, Ha Seok Kim, Ji Chan Maeng, Jimin Kim, Minsoo Ryu |
An Effective Design of Master-Slave Operating System Architecture for Multiprocessor Embedded Systems. |
Asia-Pacific Computer Systems Architecture Conference |
2007 |
DBLP DOI BibTeX RDF |
Master-slave, remote invocation, kernel configuration, multiprocessor, design issues |
27 | Ozcan Ozturk 0001, Guilin Chen, Mahmut T. Kandemir |
Optimizing code parallelization through a constraint network based approach. |
DAC |
2006 |
DBLP DOI BibTeX RDF |
compiler, constraint network, chip multiprocessing |
27 | R. Grindley, Tarek S. Abdelrahman, Stephen Dean Brown, S. Caranci, D. DeVries, Benjamin Gamsa, A. Grbic, M. Gusat, R. Ho, Orran Krieger, Guy G. Lemieux, K. Loveless, Naraig Manjikian, P. McHardy, Sinisa Srbljic, Michael Stumm, Zvonko G. Vranesic, Zeljko Zilic |
The NUMAchine Multiprocessor. |
ICPP |
2000 |
DBLP DOI BibTeX RDF |
|
27 | Christian Clémençon, Bodhisattwa Mukherjee, Karsten Schwan |
Distributed Shared Abstractions (DSA) on Multiprocessor. |
IEEE Trans. Software Eng. |
1996 |
DBLP DOI BibTeX RDF |
application dependent memory consistency, fragmented objects, topology, Distributed shared memory, asynchronous events |
27 | Beng-Hong Lim, Ricardo Bianchini |
Limits on the Performance Benefits of Multithreading and Prefetching. |
SIGMETRICS |
1996 |
DBLP DOI BibTeX RDF |
|
27 | Shisheng Shang, Kai Hwang 0001 |
Distributed Hardwired Barrier Synchronization for Scalable Multiprocessor Clusters. |
IEEE Trans. Parallel Distributed Syst. |
1995 |
DBLP DOI BibTeX RDF |
|
27 | Pradeep K. Dubey, George B. Adams III, Michael J. Flynn |
Evaluating Performance Tradeoffs Between Fine-Grained and Coarse-Grained Alternatives. |
IEEE Trans. Parallel Distributed Syst. |
1995 |
DBLP DOI BibTeX RDF |
|
27 | Masakazu Furuichi, Kazuo Taki, Nobuyuki Ichiyoshi |
A Multi-Level Load Balancing Scheme for OR-Parallel Exhaustive Search Programs on the Multi-PSI. |
PPoPP |
1990 |
DBLP DOI BibTeX RDF |
|
25 | Anders P. Ravn, Martin Schoeberl |
Cyclic executive for safety-critical Java on chip-multiprocessors. |
JTRES |
2010 |
DBLP DOI BibTeX RDF |
|
25 | Wojciech M. Golab |
Brief announcement: locally-accessible implementations for distributed shared memory multiprocessors. |
PODC |
2010 |
DBLP DOI BibTeX RDF |
locally-accessible implementations, distributed shared memory, shared objects, remote memory references |
25 | Myoung-Jo Jung, Yeong Rak Seong, Cheol-Hoon Lee |
Optimal RM scheduling for simply periodic tasks on uniform multiprocessors. |
ICHIT |
2009 |
DBLP DOI BibTeX RDF |
|
25 | Martin Schoeberl, Wolfgang Puffitsch, Benedikt Huber |
Towards Time-Predictable Data Caches for Chip-Multiprocessors. |
SEUS |
2009 |
DBLP DOI BibTeX RDF |
|
25 | Wan-Yu Lee, Iris Hui-Ru Jiang |
VIFI-CMP: variability-tolerant chip-multiprocessors for throughput and power. |
ACM Great Lakes Symposium on VLSI |
2009 |
DBLP DOI BibTeX RDF |
chip-multiprocessor, process variation, monte carlo analysis |
25 | Noel Eisley, Li-Shiuan Peh, Li Shang |
Leveraging on-chip networks for data cache migration in chip multiprocessors. |
PACT |
2008 |
DBLP DOI BibTeX RDF |
network-driven computing, interconnection network, CMP, chip-multiprocessor, migration |
25 | Sevin Fide, Stephen F. Jenks |
Architecture optimizations for synchronization and communication on chip multiprocessors. |
IPDPS |
2008 |
DBLP DOI BibTeX RDF |
|
25 | Nagesh B. Lakshminarayana, Hyesoon Kim |
Understanding performance, power and energy behavior in asymmetric multiprocessors. |
ICCD |
2008 |
DBLP DOI BibTeX RDF |
|
25 | Liping Xue, Mahmut T. Kandemir, Guilin Chen, Feihui Li, Ozcan Ozturk 0001, Rajaraman Ramanarayanan, Balaji Vaidyanathan |
Locality-Aware Distributed Loop Scheduling for Chip Multiprocessors. |
VLSI Design |
2007 |
DBLP DOI BibTeX RDF |
|
25 | Ozcan Ozturk 0001, G. Chen, Mahmut T. Kandemir, Mustafa Karaköy |
An Integer Linear Programming Based Approach to Simultaneous Memory Space Partitioning and Data Allocation for Chip Multiprocessors. |
ISVLSI |
2006 |
DBLP DOI BibTeX RDF |
|
25 | Shih-Wei Liao, Zhaohui Du, Gansha Wu, Guei-Yuan Lueh |
Data and Computation Transformations for Brook Streaming Applications on Multiprocessors. |
CGO |
2006 |
DBLP DOI BibTeX RDF |
|
25 | Ryan E. Grant, Ahmad Afsahi |
Power-performance efficiency of asymmetric multiprocessors for multi-threaded scientific applications. |
IPDPS |
2006 |
DBLP DOI BibTeX RDF |
|
25 | Engin Ipek, José F. Martínez, Bronis R. de Supinski, Sally A. McKee, Martin Schulz 0001 |
Dynamic program phase detection in distributed shared-memory multiprocessors. |
IPDPS |
2006 |
DBLP DOI BibTeX RDF |
|
25 | Austen McDonald, JaeWoong Chung, Hassan Chafi, Chi Cao Minh, Brian D. Carlstrom, Lance Hammond, Christos Kozyrakis, Kunle Olukotun |
Characterization of TCC on Chip-Multiprocessors. |
IEEE PACT |
2005 |
DBLP DOI BibTeX RDF |
|
25 | Xia Jun, Xue-Jun Yang |
A Data Transformations Based Approach for Optimizing Memory and Cache Locality on Distributed Memory Multiprocessors. |
APPT |
2005 |
DBLP DOI BibTeX RDF |
|
25 | Philo Juang, Qiang Wu, Li-Shiuan Peh, Margaret Martonosi, Douglas W. Clark |
Coordinated, distributed, formal energy management of chip multiprocessors. |
ISLPED |
2005 |
DBLP DOI BibTeX RDF |
power, dynamic voltage scaling |
25 | H. Sarojadevi, S. K. Nandy 0001, Srinivasan Balakrishnan |
On the Correctness of Program Execution When Cache Coherence Is Maintained Locally at Data-Sharing Boundaries in Distributed Shared Memory Multiprocessors. |
Int. J. Parallel Program. |
2004 |
DBLP DOI BibTeX RDF |
programmer-centric framework, release consistency memory model, performance evaluation, cache coherence, Distributed shared-memory multiprocessor |
25 | Sanjoy K. Baruah |
Optimal Utilization Bounds for the Fixed-Priority Scheduling of Periodic Task Systems on Identical Multiprocessors. |
IEEE Trans. Computers |
2004 |
DBLP DOI BibTeX RDF |
|
25 | JoAnn M. Paul, Donald E. Thomas, Alex Bobrek |
Benchmark-based design strategies for single chip heterogeneous multiprocessors. |
CODES+ISSS |
2004 |
DBLP DOI BibTeX RDF |
heterogeneous multiprocessing, scenario-oriented design, benchmarking, systems-on-chips (SoCs) |
25 | Naraig Manjikian, Huang Jin, James Reed, Nathan Cordeiro |
Architecture and Implementation of Chip Multiprocessors: Custom Logic Components and Software for Rapid Prototyping. |
ICPP |
2004 |
DBLP DOI BibTeX RDF |
|
25 | Khaled Z. Ibrahim, Gregory T. Byrd, Eric Rotenberg |
Slipstream Execution Mode for CMP-Based Multiprocessors. |
HPCA |
2003 |
DBLP DOI BibTeX RDF |
|
25 | Daehyun Kim, Mainak Chaudhuri, Mark A. Heinrich |
Active Memory Techniques for ccNUMA Multiprocessors. |
IPDPS |
2003 |
DBLP DOI BibTeX RDF |
|
25 | Feng Liu, Vipin Chaudhary |
Extending OpenMP for Heterogeneous Chip Multiprocessors. |
ICPP |
2003 |
DBLP DOI BibTeX RDF |
|
25 | Manuel E. Acacio, José González 0002, José M. García 0001, José Duato |
The Use of Prediction for Accelerating Upgrade Misses in cc-NUMA Multiprocessors. |
IEEE PACT |
2002 |
DBLP DOI BibTeX RDF |
|
25 | Philippas Tsigas, Yi Zhang 0004 |
Evaluating the performance of non-blocking synchronization on shared-memory multiprocessors. |
SIGMETRICS/Performance |
2001 |
DBLP DOI BibTeX RDF |
|
25 | Anant Agarwal, David A. Kranz, Rajeev Barua, Venkat Natarajan |
Optimal Tiling for Minimizing Communication in Distributed Shared-Memory Multiprocessors. |
Compiler Optimizations for Scalable Parallel Systems Languages |
2001 |
DBLP DOI BibTeX RDF |
|
25 | Kenneth E. Hoganson |
Mapping Parallel Application Communication Topology to Rhombic Overlapping-Cluster Multiprocessors. |
J. Supercomput. |
2000 |
DBLP DOI BibTeX RDF |
cluster, parallel programming, interconnection network, shared-memory multiprocessor, overlapped cluster |
25 | Hung-Chang Hsiao, Chung-Ta King |
The Thread-Based Protocol Engines for CC-NUMA Multiprocessors. |
ICPP |
2000 |
DBLP DOI BibTeX RDF |
|
25 | Xavier Martorell, Julita Corbalán, Dimitrios S. Nikolopoulos, Nacho Navarro, Eleftherios D. Polychronopoulos, Theodore S. Papatheodorou, Jesús Labarta |
A Tool to Schedule Parallel Applications on Multiprocessors: The NANOS CPU MANAGER. |
JSSPP |
2000 |
DBLP DOI BibTeX RDF |
|
25 | Hwakyung Rim, Ju-wook Jang, Sung-Chun Kim |
An Efficient Dynamic Load Balancing using the Dimension Exchange Method for Balancing of Quantized Loads on Hypercube Multiprocessors. |
IPPS/SPDP |
1999 |
DBLP DOI BibTeX RDF |
|
25 | David R. Helman, Joseph F. JáJá |
Prefix Computations on Symmetric Multiprocessors. |
IPPS/SPDP |
1999 |
DBLP DOI BibTeX RDF |
|
25 | Keqin Li 0001, Xian-He Sun |
Average-Case Analysis of Isospeed Scalability of Parallel Computations on Multiprocessors. |
IPPS/SPDP |
1999 |
DBLP DOI BibTeX RDF |
|
25 | Manfred Feil, Andreas Uhl |
Algorithms and Programming Paradigms for 2-D Wavelet Packet Decomposition on Multicomputers and Multiprocessors. |
ACPC |
1999 |
DBLP DOI BibTeX RDF |
|
25 | Charles E. Leiserson |
Design and Analysis of Algorithms for Shared-Memory Multiprocessors (Abstract). |
WADS |
1999 |
DBLP DOI BibTeX RDF |
|
25 | Dimitrios S. Nikolopoulos, Eleftherios D. Polychronopoulos, Theodore S. Papatheodorou |
Enhancing the Performance of Auroscheduling in Distributed Shared Memory Multiprocessors. |
Euro-Par |
1998 |
DBLP DOI BibTeX RDF |
|
25 | Edward D. Moreno, Sergio Takeo Kofuji, Marcelo H. Cintra |
Prefetching and Multithreading Performance in Bus-Based Multiprocessors with Petri Nets. |
Euro-Par |
1997 |
DBLP DOI BibTeX RDF |
|
25 | Per Stenström, Jonas Skeppstedt |
A Performance Tuning Approach for Shared-Memory Multiprocessors. |
Euro-Par |
1997 |
DBLP DOI BibTeX RDF |
|
25 | V. Carl Hamacher, Hong Jiang |
Performance and Configuration of Hierarchical Ring Networks for Multiprocessors. |
ICPP |
1997 |
DBLP DOI BibTeX RDF |
|
25 | Dongming Jiang, Jaswinder Pal Singh |
Parallel Shear-Warp Volume Rendering on Shared Address Space Multiprocessors. |
PPoPP |
1997 |
DBLP DOI BibTeX RDF |
|
25 | Yunseok Rhee, Joonwon Lee |
A Scalable Cache Coherent Architecture for Large-Scale Mesh-Connected Multiprocessors. |
ISPAN |
1997 |
DBLP DOI BibTeX RDF |
mesh-interconnect, directory-based protocol, multiprocessor, wormhole routing, cache coherence, dimension ordered routing |
25 | Dan Teodosiu 0002, Joel Baxter, Kinshuk Govil, John Chapin, Mendel Rosenblum, Mark Horowitz |
Hardware Fault Containment in Scalable Shared-Memory Multiprocessors. |
ISCA |
1997 |
DBLP DOI BibTeX RDF |
|
25 | Saravanan Agasaveeran, Qiang Li |
Distributed Job Scheduling in SCI Local - Area Multiprocessors. |
HPDC |
1996 |
DBLP DOI BibTeX RDF |
|
25 | Ranga S. Ramanujan, Jordan Bonney, Kenneth J. Thurber, Rakesh Jha, Howard Jay Siegel |
A Framework for Automated Software Partitioning and Mapping for Distributed Multiprocessors. |
ISPAN |
1996 |
DBLP DOI BibTeX RDF |
software mapping, parallel processing, software partitioning |
25 | Montse Peiron, Mateo Valero, Eduard Ayguadé, Tomás Lang |
Vector Multiprocessors with Arbitrated Memory Access. |
ISCA |
1995 |
DBLP DOI BibTeX RDF |
|
25 | Steven Cameron Woo, Jaswinder Pal Singh, John L. Hennessy |
The Performance Advantages of Integrating Block Data Trabsfer in Cache-Coherent Multiprocessors. |
ASPLOS |
1994 |
DBLP DOI BibTeX RDF |
|
25 | Harjinder S. Sandhu, Benjamin Gamsa, Songnian Zhou |
The Shared Regions Approach to Software Cache Coherence on Multiprocessors. |
PPoPP |
1993 |
DBLP DOI BibTeX RDF |
C++ |
25 | MenChow Chiang, Gurindar S. Sohi |
Experience with Mean Value Analysis Models for Evaluating Shared Bus, Throughput-Oriented Multiprocessors. |
SIGMETRICS |
1991 |
DBLP DOI BibTeX RDF |
|
25 | Zarka Cvetanovic, Edward G. Freedman, Charles Nofsinger |
Perfect Benchmarks decomposition and performance on VAX multiprocessors. |
SC |
1990 |
DBLP DOI BibTeX RDF |
|
25 | Sigurd L. Lillevik, John L. Easterday |
Throughput of multiprocessors with replicated shared memories. |
AFIPS National Computer Conference |
1984 |
DBLP DOI BibTeX RDF |
|
25 | Jeffery A. Brown, Rakesh Kumar 0002, Dean M. Tullsen |
Proximity-aware directory-based coherence for multi-core processor architectures. |
SPAA |
2007 |
DBLP DOI BibTeX RDF |
chip multiprocessors, coherence |
25 | Yujia Jin, Nadathur Satish, Kaushik Ravindran, Kurt Keutzer |
An automated exploration framework for FPGA-based soft multiprocessor systems. |
CODES+ISSS |
2005 |
DBLP DOI BibTeX RDF |
IPv4 packet forwarding, soft multiprocessors, FPGA, design space exploration, integer linear programming |
25 | Biplab Kumer Sarker, Takaki Mori, Toshiya Hirata, Kuniaki Uehara |
Parallel Algorithms for Mining Association Rules in Time Series Data. |
ISPA |
2003 |
DBLP DOI BibTeX RDF |
Symbols of Multi-streams, Motion Data, Data mining, Association Rules, Time Series, Shared Memory Multiprocessors |
25 | Lynn Choi, Pen-Chung Yew |
Compiler Analysis for Cache Coherence: Interprocedural Array Data-Flow Analysis and Its Impact on Cache Performance. |
IEEE Trans. Parallel Distributed Syst. |
2000 |
DBLP DOI BibTeX RDF |
Compiler, shared-memory multiprocessors, data-flow analysis, cache coherence, interprocedural analysis |
25 | Hung-Chang Hsiao, Chung-Ta King |
MICA: A Memory and Interconnect Simulation Environment for Cache-Based Architectures. |
Annual Simulation Symposium |
2000 |
DBLP DOI BibTeX RDF |
Trace-driven, Simulation environment, Distributed Shared Memory Multiprocessors |
25 | LihChyun Shu, Michal Young |
Chopping and versioning real-time transactions to avoid remote blocking. |
RTCSA |
2000 |
DBLP DOI BibTeX RDF |
real time transaction versioning, remote blocking, versioning protocols, chopping analysis, pure locking protocols, 1-version serializability, design time information, simple data structures, small bounded overheads, worst case access times, scheduling, real-time systems, protocols, concurrency control, multiprocessors, multiprocessing systems, transaction processing, configuration management, schedulability analysis, hard real time systems, critical sections, locking protocols, database concurrency control |
25 | Mark A. Heinrich, Vijayaraghavan Soundararajan, John L. Hennessy, Anoop Gupta |
A Quantitative Analysis of the Performance and Scalability of Distributed Shared Memory. |
IEEE Trans. Computers |
1999 |
DBLP DOI BibTeX RDF |
flexible node controller, bitvector, dynamic pointer allocation, controller occupancy, distributed shared memory, performance comparison, FLASH, Cache coherence protocols, MAGIC, COMA, SCI, scalable multiprocessors |
25 | Hock-Beng Lim, Pen-Chung Yew |
An Integrated Framework for Compiler-Directed Cache Coherence and Data Prefetching. |
LCPC |
1998 |
DBLP DOI BibTeX RDF |
Compiler-directed Cache Coherence, Memory Latency Hiding, Shared-memory Multiprocessors, Data Prefetching |
25 | Jyh-Herng Chow, Vivek Sarkar |
False Sharing Elimination by Selection of Runtime Scheduling Parameters. |
ICPP |
1997 |
DBLP DOI BibTeX RDF |
runtime scheduling, compilers, shared-memory multiprocessors, parallel loops, false sharing |
25 | Evan Torrie, Margaret Martonosi, Chau-Wen Tseng, Mary W. Hall |
Characterizing the Memory Behavior of Compiler-Parallelized Applications. |
IEEE Trans. Parallel Distributed Syst. |
1996 |
DBLP DOI BibTeX RDF |
false and true sharing, parallelism granularity, memory hierarchies, shared-memory multiprocessors, Parallelizing compilers, cache performance |
25 | José M. García 0001, A. Flores |
A Novel Approach to Improve the Performance of Interconnection Networks with Hot - Spots. |
EUROMICRO |
1996 |
DBLP DOI BibTeX RDF |
physically distributed memory, single shared memory address space, two-dimensional k-ary n-cube networks, deterministic routing algorithm, interconnection networks, congestion control, message passing, multiprocessor interconnection networks, parallel machines, shared memory multiprocessors, wormhole routing, virtual channels, adaptive algorithms, performance improvement, hot-spots, reconfigurable networks, reconfigurable network |
23 | Mitsuhisa Sato |
OpenMP: Parallel Programming API for Shared Memory Multiprocessors and On-Chip Multiprocessors. |
ISSS |
2002 |
DBLP DOI BibTeX RDF |
deign experience, CMP, chip multiprocessor, functional verification, speculative multithreading |
23 | Peter R. Bailey, Malcolm C. Newey |
Implementing ML on Distributed Memory Multiprocessors. |
SIGPLAN Workshop |
1992 |
DBLP DOI BibTeX RDF |
|
23 | Hongyang Sun 0001, Yangjie Cao, Wen-Jing Hsu |
Efficient Adaptive Scheduling of Multiprocessors with Stable Parallelism Feedback. |
IEEE Trans. Parallel Distributed Syst. |
2011 |
DBLP DOI BibTeX RDF |
control-theoretic analysis, malleable parallel jobs, nonclairvoyant scheduling, parallelism feedback, stability, multiprocessors, competitive analysis, Adaptive scheduling, two-level scheduling |
23 | Anne Benoit, Paul Renaud-Goud, Yves Robert, Rami G. Melhem |
Energy-Aware Mappings of Series-Parallel Workflows onto Chip Multiprocessors. |
ICPP |
2011 |
DBLP DOI BibTeX RDF |
power consumption minimization, chip multiprocessors, scheduling algorithms, series-parallel graphs |
23 | Dai N. Bui, Hiren D. Patel, Edward A. Lee |
Deploying Hard Real-Time Control Software on Chip-Multiprocessors. |
RTCSA |
2010 |
DBLP DOI BibTeX RDF |
Chip-multiprocessors, Real-time software, Discrete-Event |
23 | Enric Herrero, José González 0002, Ramon Canal |
Elastic cooperative caching: an autonomous dynamically adaptive memory hierarchy for chip multiprocessors. |
ISCA |
2010 |
DBLP DOI BibTeX RDF |
elastic cooperative caching, tiled microarchitectures, chip multiprocessors, memory hierarchy |
23 | Zhangxi Tan, Andrew Waterman, Rimas Avizienis, Yunsup Lee, Henry Cook, David A. Patterson 0001, Krste Asanovic |
RAMP gold: an FPGA-based architecture simulator for multiprocessors. |
DAC |
2010 |
DBLP DOI BibTeX RDF |
simulation, FPGA, multiprocessors |
23 | Muhammad Yasir Qadri, Klaus D. McDonald-Maier |
A Fuzzy Logic Reconfiguration Engine for Symmetric Chip Multiprocessors. |
CISIS |
2010 |
DBLP DOI BibTeX RDF |
Symmetric Chip multiprocessors, Performance, Fuzzy Logic, Energy, Reconfigurable Hardware |
23 | Shinpei Kato, Nobuyuki Yamasaki, Yutaka Ishikawa |
Semi-partitioned Scheduling of Sporadic Task Systems on Multiprocessors. |
ECRTS |
2009 |
DBLP DOI BibTeX RDF |
Semi-partitioning, Real-time systems, Multiprocessors, Scheduling algorithms |
23 | Antonino Tumeo, Christian Pilato, Gianluca Palermo, Fabrizio Ferrandi, Donatella Sciuto |
HW/SW methodologies for synchronization in FPGA multiprocessors. |
FPGA |
2009 |
DBLP DOI BibTeX RDF |
fpga, synchronization, multiprocessors |
23 | Noriko Takagi, Hiroshi Sasaki 0001, Masaaki Kondo, Hiroshi Nakamura |
Cooperative shared resource access control for low-power chip multiprocessors. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
low power, chip multiprocessors, cache partitioning, dvfs, resource conflict |
23 | Jacob Leverich, Hideho Arakida, Alex Solomatnikov, Amin Firoozshahian, Mark Horowitz, Christos Kozyrakis |
Comparative evaluation of memory models for chip multiprocessors. |
ACM Trans. Archit. Code Optim. |
2008 |
DBLP DOI BibTeX RDF |
streaming memory, parallel programming, Chip multiprocessors, cache coherence, locality optimizations |
23 | Pablo Abad Fidalgo, Valentin Puente, José-Ángel Gregorio |
Reducing the Interconnection Network Cost of Chip Multiprocessors. |
NOCS |
2008 |
DBLP DOI BibTeX RDF |
Chip Multiprocessors, Deadlock, Router Design |
Displaying result #101 - #200 of 3723 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ >>] |
|