The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for nanotechnology with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1987-1995 (37) 1996 (40) 1998-2001 (17) 2002-2003 (36) 2004 (43) 2005 (48) 2006 (117) 2007 (72) 2008 (48) 2009 (42) 2010 (31) 2011 (93) 2012 (66) 2013 (78) 2014 (73) 2015 (71) 2016 (54) 2017 (62) 2018 (35) 2019 (48) 2020 (48) 2021 (50) 2022 (41) 2023 (56) 2024 (2)
Publication types (Num. hits)
article(338) book(5) incollection(8) inproceedings(929) phdthesis(11) proceedings(17)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 452 occurrences of 271 keywords

Results
Found 1308 publication records. Showing 1308 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Vijay Kumar Sharma Parity generators in QCA nanotechnology for nanocommunication systems. Search on Bibsonomy Nano Commun. Networks The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Andreas Pfützner, Barbora Tencer, Boris Stamm, Mandar Mehta, Preeti Sharma, Rustam Gilyazev, Hendrick Jensch, Nicole Thomé, Michael Huth 0002 Miniaturization of an Osmotic Pressure-Based Glucose Sensor for Continuous Intraperitoneal and Subcutaneous Glucose Monitoring by Means of Nanotechnology. Search on Bibsonomy Sensors The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Francisco J. Tovar-Lopez Recent Progress in Micro- and Nanotechnology-Enabled Sensors for Biomedical and Environmental Challenges. Search on Bibsonomy Sensors The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Elisabetta Poeta, Aris Liboà, Simone Mistrali, Estefanía Núñez-Carmona, Veronica Sberveglieri Nanotechnology and E-Sensing for Food Chain Quality and Safety. Search on Bibsonomy Sensors The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Salama Alhameli, Amna Almazrouei, Amal Almenhali, Ahmed Shuhaiber Towards an Infrastructure and Architecture of Nanotechnology in Agriculture for Abu Dhabi. Search on Bibsonomy SmartNets The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Konstantinos Kalovrektis, Ioannis Dimos, Apostolos Xanakis, Athanasios Kakarountas Nanotechnology as a Tool for Computational Thinking Skills using Open Hardware, Embedded Systems and Repository Platform, in Industry 4.0 Era. Search on Bibsonomy SEEDA-CECNSM The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Luca Cassano, Mihalis Psarakis, Marcello Traiola, Alberto Bosio (eds.) IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2023, Juan-Les-Pins, France, October 3-5, 2023 Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Momona Mizota, Toshinori Hosokawa, Masayoshi Yoshimura, Masayuki Arai A Block Partitioning Method for Region Exhaustive Test to Reduce the Number of Test Patterns and Improve Gate Exhaustive Fault Coverage. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Tobias Kilian, Abhishek Sengupta, Daniel Tille, Martin Huch, Ulf Schlichtmann An efficient High-Volume Production Performance Screening using On-Chip Ring Oscillators. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Govind Rajhans Jadhav, Sonali Shukla, Virendra Singh On Attacking Scan-based Logic Locking Schemes. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17S. Bouat, Stéphanie Anceau, Laurent Maingault, Jessy Clédière, Luc Salvo, Rémi Tucoulou X ray nanoprobe for fault attacks and circuit edits on 28-nm integrated circuits. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Christos Georgakidis, Dimitris Valiantzas, Stavros Simoglou, Iordanis Lilitsis, Nikolaos Chatzivangelis, Ilias Golfos, Marko S. Andjelkovic, Christos P. Sotiriou, Milos Krstic Towards a Comprehensive SET Analysis Flow for VLSI Circuits using Static Timing Analysis. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Zahin Ibnat, Hadi Mardani Kamali, Farimah Farahmandi Iterative Mitigation of Insecure Resource Sharing Produced by High-level Synthesis. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Shruti Dutta, Sai Charan Rachamadugu Chinni, Abhishek Das, Nur A. Touba Highly Efficient Layered Syndrome-based Double Error Correction Utilizing Current Summing in RRAM Cells to Simplify Decoder. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Yudai Toyooka, Haruki Watanabe, Toshinori Hosokawa, Masayoshi Yoshimura An Evaluation of Estimated Field Random Testability for Data Paths at Register Transfer Level Using Status Signal Sequences Based on k-Consecutive State Transitions for Field Testing. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Toshinori Hosokawa, Kyohei Iizuka, Masayoshi Yoshimura An Evaluation of a Testability Measure for State Assignment to Estimate Transition Fault Coverage for Controllers. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Krishnendu Guha, Gouriprasad Bhattacharyya A Self Aware Security Approach for Real Time Neural Network Applications from Row Hammer Attacks in Multi FPGA Multi User Environment. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Payam Habiby, Sebastian Huhn 0001, Rolf Drechsler RC-IJTAG: A Methodology for Designing Remotely-Controlled IEEE 1687 Scan Networks. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Amalia-Artemis Koufopoulou, Athanasios Papadimitriou, Aggelos Pikrakis, Mihalis Psarakis, David Hély On the Prediction of Hardware Security Properties of HLS Designs Using Graph Neural Networks. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Kevin Böhmer, Bruno Forlin, Carlo Cazzaniga, Paolo Rech, Gianluca Furano, Nikolaos Alachiotis 0001, Marco Ottavi Neutron Radiation Tests of the NEORV32 RISC-V SoC on Flash-Based FPGAs. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Francisco Fuentes, Sergi Alcaide, Raimon Casanova, Jaume Abella 0001 Black-Box IP Validation with the SafeTI Traffic Injector: A Success Story. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Yu Xie, Wen-Yue Yu, Ning Zhang, He Chen, Yizhuang Xie Partial Triple Modular Redundancy Method for Fault-Tolerant Circuit based on HITS Algorithm. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Senling Wang, Shaoqi Wei, Jun Ma, Hiroshi Kai, Yoshinobu Higami, Hiroshi Takahashi, Akihiro Shimizu, Xiaoqing Wen, Tianming Ni SASL-JTAG: A Light-Weight Dependable JTAG. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Alexandra Takou, Pavlos Stoikos, Moysis Moysis, George Floros 0002, Nestoras E. Evmorfopoulos, Georgios I. Stamoulis An Efficient Security Closure Methodology for EM-based Attacks on Power Grid Structures. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Carolina Imianosky, Douglas A. dos Santos, Douglas R. Melo, Felipe Viel, Luigi Dilillo Implementation and Reliability Evaluation of a RISC-V Vector Extension Unit. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Yu-Guang Chen, Ying-Jing Tsai Reliability of Computing-In-Memories: Threats, Detection Methods, and Mitigation Approaches. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Cristiana Bolchini, Luca Cassano, Antonio Miele, Alessandro Nazzari, Dario Passarello Analyzing the Reliability of Alternative Convolution Implementations for Deep Learning Applications. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Riccardo Cantoro, Sandro Sartoni, Matteo Sonza Reorda, Lorena Anghel, Michele Portolan Evaluating the Impact of Aging on Path-Delay Self-Test Libraries. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Victor M. van Santen, Florian Klemme, Paul R. Genssler, Hussam Amrouch Challenges in Machine Learning Techniques to Estimate Reliability from Transistors to Circuits. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Douglas A. dos Santos, André Martins Pio de Mattos, Douglas R. Melo, Luigi Dilillo Characterization of a Fault-Tolerant RISC-V System-on-Chip for Space Environments. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Rahul Chaurasia, Abhinav Reddy Asireddy, Anirban Sengupta Fault Secured JPEG-Codec Hardware Accelerator with Piracy Detective Control using Secure Fingerprint Template. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Georgios Ioannis Paliaroutis, Pelopidas Tsoumanis, Dimitrios Garyfallou, Anastasis Vagenas, Nestoras E. Evmorfopoulos, Georgios I. Stamoulis Accurate Soft Error Rate Evaluation Using Event-Driven Dynamic Timing Analysis. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Konstantin Shibin, Maksim Jenihhin, Artur Jutman, Sergei Devadze, Anton Tsertov On-Chip Sensors Data Collection and Analysis for SoC Health Management. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17V. Turco, Annachiara Ruospo, Gabriele Gavarini, Ernesto Sánchez 0001, Matteo Sonza Reorda Uncovering hidden vulnerabilities in CNNs through evolutionary-based Image Test Libraries. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Oana Boncalo, Alexandru Amaricai Gradient Descent Iterative Correction Unit for Fixed Point Parity Based Codes. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Benjamin W. Mezger, Douglas A. dos Santos, Luigi Dilillo, Douglas R. Melo Hardening a Real-Time Operating System for a Dependable RISC-V System-on-Chip. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Alessandro Palumbo, Luca Cassano, Pedro Reviriego, Marco Ottavi Improving the Detection of Hardware Trojan Horses in Microprocessors via Hamming Codes. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Natsuki Ota, Toshinori Hosokawa, Koji Yamazaki, Yukari Yamauchi, Masayuki Arai An Estimation Method of Defect Types Using Artificial Neural Networks and Fault Detection Information. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Junchao Chen 0001, Marko S. Andjelkovic, Milos Krstic, Fabian Luis Vargas 0001 A Machine Learning-driven EDAC Method for Space-Application Memory. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Jin-Fu Li 0001 Testing of Computing-In Memories: Faults, Test Algorithms, and Design-for-Testability. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Gabriele Gavarini, Annachiara Ruospo, Ernesto Sánchez 0001 On the resilience of representative and novel data formats in CNNs. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Salvatore Pappalardo, Ali Piri, Annachiara Ruospo, Ian O'Connor, Bastien Deveautour, Ernesto Sánchez 0001, Alberto Bosio Investigating the effect of approximate multipliers on the resilience of a systolic array DNN accelerator. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Clément Fanjas, Driss Aboulkassimi, Simon Pontié, Jessy Clédière Exploration of System-on-Chip Secure-Boot Vulnerability to Fault-Injection by Side-Channel Analysis. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Hasan Al Shaikh, Mohammad Bin Monjil, Kimia Zamiri Azar, Farimah Farahmandi, Mark M. Tehranipoor, Fahim Rahman QuardTropy: Detecting and Quantifying Unauthorized Information Leakage in Hardware Designs using g-entropy. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Haralampos-G. Stratigopoulos, Theofilos Spyrou, Spyridon Raptis Testing and Reliability of Spiking Neural Networks: A Review of the State-of-the-Art. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Alessandro Palumbo, Marco Ottavi, Luca Cassano Built-in Software Obfuscation for Protecting Microprocessors against Hardware Trojan Horses. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Glenn H. Chapman, Klinsmann J. Coelho Silva Meneses, Linda Wu, Israel Koren, Zahava Koren Image Degradation in Time Due to Interacting Hot Pixels. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Shih-Hsu Huang, Wei-Che Cheng, Jin-Fu Li 0001 Hardware Trojans of Computing-In-Memories: Issues and Methods. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Wesley Grignani, Douglas A. dos Santos, Luigi Dilillo, Felipe Viel, Douglas R. Melo A Low-Cost Hardware Accelerator for CCSDS 123 Lossless Hyperspectral Image Compression. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Mohammad Hasan Ahmadilivani, Jaan Raik, Masoud Daneshtalab, Alar Kuusik Analysis and Improvement of Resilience for Long Short-Term Memory Neural Networks. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Nasr-Eddine Ouldei Tebina, Nacer-Eddine Zergainoh, Guillaume Hubert, Paolo Maistri Simulation Methodology for Assessing X-Ray Effects on Digital Circuits. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Raghunandana K. K, Yogesh Prasad K. R, Matteo Sonza Reorda, Virendra Singh DDSR: An Online GPGPU Instruction Decoder Error Detecting and Correcting Architecture. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Mridha Md Mashahedur Rahman, Shams Tarek, Kimia Zamiri Azar, Farimah Farahmandi EnSAFe: Enabling Sustainable SoC Security Auditing using eFPGA-based Accelerators. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Zaman Amirzadeh, Mohammad Gholami Selective counter design in quantum-dot cellular automata nanotechnology. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Shubham Rai, Satwik Patnaik, Ansh Rupani, Johann Knechtel, Ozgur Sinanoglu, Akash Kumar 0001 Security Promises and Vulnerabilities in Emerging Reconfigurable Nanotechnology-Based Circuits. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Deng Luo, Alexandre Kouyoumdjian, Ondrej Strnad, Haichao Miao, Ivan Barisic, Ivan Viola SynopSet: Multiscale Visual Abstraction Set for Explanatory Analysis of DNA Nanotechnology Simulations. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Joanicjusz Nazarko, Joanna Ejdys, Alicja E. Gudanowska, Katarzyna Halicka, Anna Kononiuk, Andrzej Magruk, Lukasz Nazarko Roadmapping in Regional Technology Foresight: A Contribution to Nanotechnology Development Strategy. Search on Bibsonomy IEEE Trans. Engineering Management The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Sadia Batool, Hafezeh Nabipour, Seeram Ramakrishna, Masoud Mozafari Nanotechnology and quantum science enabled advances in neurological medical applications: diagnostics and treatments. Search on Bibsonomy Medical Biol. Eng. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Yadong Ma New progress in international nanotechnology research in the past ten years - visual analysis based on CitesSpace. Search on Bibsonomy J. Comput. Methods Sci. Eng. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Brylle Raphael Uy Bigcas, Maricar Sison Prudente, Socorro Echevarria Aguja Improving Health Science Students' Performance in Nanotechnology Using Socio-Scientific Issues-based Module. Search on Bibsonomy IC4E The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Tamanna Tabassum, Fatema Akter, Mohammed Nasir Uddin QPROM: Quantum Nanotechnology for Data Storage Using Programmable Read Only Memory. Search on Bibsonomy MIET (2) The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Sagar Bhatt, Rakesh Dani, Sonu Kumar Katnoriya, Yashwant Singh Rawal, Ashulekha Gupta, Kapil Joshi Acceptance of the Nanotechnology in the Food Industry for customer Satisfaction. Search on Bibsonomy ICCCS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Bindu Bashyal, Shahad Ahmed, Anchal Shrestha, Nada AlSallami, Nawzat Sadiq Ahmed, Omar Hisham Alsadoon, Shaymaa Ismail Ali Nanotechnology Based Photodynamic Therapy for Cancer Treatment. Search on Bibsonomy ICR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Luca Cassano, Sreejit Chakravarty, Alberto Bosio (eds.) IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2022, Austin, TX, USA, October 19-21, 2022 Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Endri Kaja, Nicolas Gerlin, Monideep Bora, Keerthikumara Devarajegowda, Dominik Stoffel, Wolfgang Kunz, Wolfgang Ecker MetaFS: Model-driven Fault Simulation Framework. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Stéphane Burel, Adrian Evans, Lorena Anghel Improving DNN Fault Tolerance in Semantic Segmentation Applications. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Edian B. Annink, Gerard K. Rauwerda, Edwin A. Hakkennes, Alessandra Menicucci, Stefano Di Mascio, Gianluca Furano, Marco Ottavi Preventing Soft Errors and Hardware Trojans in RISC-V Cores. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Christos Georgakidis, Stavros Simoglou, Christos P. Sotiriou RADPlace-MS: A Timing-Driven Placer and Optimiser for ASICs Radiation Hardening. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Nasr-Eddine Ouldei Tebina, Nacer-Eddine Zergainoh, Paolo Maistri X-Ray Fault Injection: Reviewing Defensive Approaches from a Security Perspective. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Irith Pomeranz Storage-Based Logic Built-In Self-Test with Variable-Length Test Data. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Shanshan Liu 0001, Jing Guo 0004, Xiaochen Tang, Pedro Reviriego, Fabrizio Lombardi A Polarity-Driven Radiation-Hardened Latch design for Single Event Upset Tolerance. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Antian Wang, Weihang Tan, Keshab K. Parhi, Yingjie Lao Integral Sampler and Polynomial Multiplication Architecture for Lattice-based Cryptography. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Panagiota Nikolaou, Yiannakis Sazeides, Maria K. Michael INTERPLAY: An Intelligent Model for Predicting Performance Degradation due to Multi-cache Way-disabling. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Konstantinos Nomikos, Athanasios Papadimitriou, Mihalis Psarakis, Aggelos Pikrakis, Vincent Beroulle Evaluation of Hiding-based Countermeasures against Deep Learning Side Channel Attacks with Pre-trained Networks. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Francesco Angione, Paolo Bernardi, Gabriele Filipponi, Claudia Tempesta, Matteo Sonza Reorda, Davide Appello, Vincenzo Tancorre, Roberto Ugioli Online scheduling of concurrent Memory BISTs execution at Real-Time Operating-System level. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Raghda El Shehaby, Andreas Steininger Study and Comparison of QDI Pipeline Components' Sensitivity to Permanent Faults. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Luca Cassano, Stefano Di Mascio, Alessandro Palumbo, Alessandra Menicucci, Gianluca Furano, Giuseppe Bianchi 0001, Marco Ottavi Is RISC-V ready for Space? A Security Perspective. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Praise O. Farayola, Isaac Bruce, Shravan K. Chaganti, Abalhassan Sheikh, Srivaths Ravi 0001, Degang Chen 0001 Cross-Correlation Approach to Detecting Issue Test Sites in Massive Parallel Testing. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Hao Qiu, Semiu A. Olowogemo, Bor-Tyng Lin, William H. Robinson, Daniel B. Limbrick Understanding time-varying vulnerability accross GPU Program Lifetime. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Masayoshi Yoshimura, Atsuya Tsujikawa, Hiroshi Yamazaki, Toshinori Hosokawa CRLock: A SAT and FALL Attacks Resistant Logic Locking Method at Register Transfer Level. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Anmol Singh Narwariya, Pabitra Das, Saqib Khursheed, Amit Acharyya Operational Age Estimation of ICs using Gaussian Process Regression. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Pengzhou He, Yazheng Tu, Ayesha Khalid, Máire O'Neill, Jiafeng Xie HPMA-NTRU: High-Performance Polynomial Multiplication Accelerator for NTRU. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Amlan Ghosh, Saroj Satapathy, Jaydeep P. Kulkarni, Prashant D. Joshi Aging Effects On Clock Gated Memory Phase Paths. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Alberto Bosio, Stefano Di Carlo, Maurizio Rebaudengo, Alessandro Savino Toward the hardening of real-time operating systems. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Piyush Beegala, Debapriya Basu Roy, Prasanna Ravi, Shivam Bhasin, Anupam Chattopadhyay, Debdeep Mukhopadhyay Efficient Loop Abort Fault Attacks on Supersingular Isogeny based Key Exchange (SIKE). Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Glenn H. Chapman, Klinsmann J. Coelho Silva Meneses, Israel Koren, Zahava Koren Image Degradation due to Interacting Adjacent Hot Pixels. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Masoomeh Karami, Mohammad Hashem Haghbayan, Masoumeh Ebrahimi, Antonio Miele, Juha Plosila Thread-level Parallelism in Fault Simulation of Deep Neural Networks on Multi-Processor Systems. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Zaheer Tabassam, Andreas Steininger SET Hardened Derivatives of QDI Buffer Template. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Zhen Gao 0005, Yinghao Cheng, Pedro Reviriego Evaluation of the Effects of SEUs on Configuration Memories in FPGA Implemented QC-LDPC Decoders. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Semiu A. Olowogemo, Hao Qiu, Bor-Tyng Lin, William H. Robinson, Daniel B. Limbrick Model-Based Analysis of Single-Event Upset (SEU) Vulnerability of 6T SRAM Using FinFET Technologies. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Cristiana Bolchini, Luca Cassano, Antonio Miele, Alessandro Nazzari Selective Hardening of CNNs based on Layer Vulnerability Estimation. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Corrado De Sio, Sarah Azimi, Luca Sterpone, David Merodio Codinachs Analysis of Proton-induced Single Event Effect in the On-Chip Memory of Embedded Process. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Douglas A. dos Santos, André Martins Pio de Mattos, Lucas M. Luza, Carlo Cazzaniga, Maria Kastriotou, Douglas R. Melo, Luigi Dilillo Neutron Irradiation Testing and Analysis of a Fault-Tolerant RISC-V System-on-Chip. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Jianan Wen, Andrea Baroni, Eduardo Perez, Markus Ulbricht 0002, Christian Wenger, Milos Krstic Evaluating Read Disturb Effect on RRAM based AI Accelerator with Multilevel States and Input Voltages. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Xiaobin Shu, Li-Na Li, Miao-miao Ren, Bayan Omar Mohammed A new binary to gray code converter based on quantum-dot cellular automata nanotechnology. Search on Bibsonomy Photonic Netw. Commun. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Emrobowansan Monday Idamokoro, Yiseyon Sunday Hosu Global Research Trends on the Use of Nanotechnology to Boost Meat Production: A Scientometric Analysis. Search on Bibsonomy Frontiers Res. Metrics Anal. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Jun-Cheol Jeon Designing nanotechnology QCA-multiplexer using majority function-based NAND for quantum computing. Search on Bibsonomy J. Supercomput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Sourabh Kulkarni, Sachin Bhat, Csaba Andras Moritz Architecting for Artificial Intelligence with Emerging Nanotechnology. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Elva Luz Crespo Neira, Ashkan Ebadi, Catherine Beaudry, Andrea Schiffauerova Influence of cognitive, geographical, and collaborative proximity on knowledge production of Canadian nanotechnology. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
17Yoscelina I. Hernandez-Garcia, Mónica Anzaldo Montoya Flow of ideas in the study of communication channels and references in publications on nanotechnology applied to food and agriculture in Mexico. Search on Bibsonomy Scientometrics The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 1308 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license